Advertisement
Guest User

Untitled

a guest
Jan 23rd, 2017
131
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.29 KB | None | 0 0
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3. entity additionneur is
  4. port(
  5. a,b,cin : in std_logic;
  6. s,cout : out std_logic);
  7. end additionneur;
  8. --end;
  9. architecture archConc of additionneur is
  10. begin
  11. s <= a xor b xor cin;
  12. cout <= (a and b) or (a and cin) or (b and cin);
  13. end archConc;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement