Advertisement
Guest User

lab4.1

a guest
Feb 8th, 2016
62
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module syncROM ( output reg [4:0] z , input [9:0] d , input clock);
  2.  
  3. ( * synthesis , rom_block = "ROM CELLXYZ01" * )
  4.  
  5. reg [4:0] mem [9:0];
  6.  
  7. initial \$readmemb("sqrt.data",mem);
  8. always @ ( posedge clock )
  9. begin
  10.     z = mem[d];
  11. end
  12.  
  13. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement