Advertisement
Guest User

Untitled

a guest
May 9th, 2016
99
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 9.12 KB | None | 0 0
  1. lasse@lssteady ~/tmp/vl % cat flipflop.v
  2. module toplevel(clock,reset);
  3. input clock;
  4. input reset;
  5.  
  6. reg flop1;
  7. reg flop2;
  8.  
  9. always @ (posedge reset or posedge clock)
  10. if (reset)
  11. begin
  12. flop1 <= 0;
  13. flop2 <= 1;
  14. end
  15. else
  16. begin
  17. flop1 <= flop2;
  18. flop2 <= flop1;
  19. end
  20. endmodule
  21. lasse@lssteady ~/tmp/vl % verilator --lint-only flipflop.v --debug --gdbbt
  22. No stack.
  23. gdb /usr/bin/verilator_bin_dbg --batch --quiet --return-child-result -ex 'run --lint-only flipflop.v --debug --gdbbt' -ex 'set width 0' -ex 'bt'
  24. Starting Verilator 3.882 2016-03-01 rev verilator_3_882-1-gacff683
  25. - V3Os.cpp:54: export SYSTEMC_ARCH=linux # From sysname 'linux'
  26. - V3GraphTest.cpp:356:test:
  27. - V3ParseImp.cpp:97: parseFile: flipflop
  28. Preprocessing flipflop.v
  29. - V3PreShell.cpp:133: Reading flipflop.v
  30. - V3ParseImp.cpp:162: Lexing flipflop.v
  31. - V3LinkCells.cpp:188:Link Module: MODULE 0xa88e90 <e3#> {d1} u4=0xa88fa0 toplevel L0
  32. dot -Tpdf -o ~/a.pdf obj_dir/Vflipflop_001_linkcells.dot
  33. - V3LinkLevel.cpp:51: modSortByLevel()
  34. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_002_cells.tree
  35. - V3LinkDot.h:38: linkDotPrimary:
  36. - V3LinkDot.cpp:633: Link Module: MODULE 0xa88e90 <e72#> {d1} u1=0xa889f0 toplevel L0
  37. - V3LinkJump.cpp:264: linkJump:
  38. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_007_link.tree
  39. - V3Param.cpp:648: param:
  40. - V3LinkDot.h:42: linkDotParamed:
  41. - V3LinkDot.cpp:633: Link Module: MODULE 0xa88e90 <e72> {d1} u1=0xa8b470 toplevel L0
  42. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_009_paramlink.tree
  43. - V3Dead.cpp:292: deadifyModules:
  44. - V3Width.cpp:3529: width:
  45. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_011_width.tree
  46. - V3Width.cpp:3569: widthCommit:
  47. - V3Const.cpp:2401: constifyAllLive:
  48. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_013_const.tree
  49. - V3Undriven.cpp:396: undrivenAll:
  50. - V3AssertPre.cpp:138:assertPreAll:
  51. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_014_assertpre.tree
  52. - V3Assert.cpp:338: assertAll:
  53. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_015_assert.tree
  54. - V3LinkLevel.cpp:90: wrapTop:
  55. - V3Const.cpp:2378: constifyAllLint:
  56. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_016_const.tree
  57. - V3Inst.cpp:497: dearrayAll:
  58. - V3LinkDot.h:46: linkDotArrayed:
  59. - V3LinkDot.cpp:633: Link Module: MODULE 0xa8c5c0 <e247> {d1} u1=0xa91a10 TOP_toplevel L1 [P]
  60. - V3LinkDot.cpp:633: Link Module: MODULE 0xa88e90 <e249> {d1} u1=0xa91a90 toplevel L0
  61. - V3Tristate.cpp:1325:tristateAll:
  62. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_019_tristate.tree
  63. - V3Begin.cpp:293: debeginAll:
  64. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_020_begin.tree
  65. - V3Unknown.cpp:476: unknownAll:
  66. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_021_unknown.tree
  67. - V3Inline.cpp:576: inlineAll:
  68. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_022_inline.tree
  69. - V3LinkDot.h:46: linkDotArrayed:
  70. - V3LinkDot.cpp:633: Link Module: MODULE 0xa8c5c0 <e247> {d1} u1=0xa946a0 TOP_toplevel L1 [P]
  71. - V3Const.cpp:2409: constifyAll:
  72. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_024_const.tree
  73. - V3Dead.cpp:297: deadifyDTypes:
  74. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_025_deadDType.tree
  75. - V3Inst.cpp:491: instAll:
  76. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_026_inst.tree
  77. - V3Const.cpp:2409: constifyAll:
  78. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_027_const.tree
  79. - V3Scope.cpp:418: scopeAll:
  80. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_028_scope.tree
  81. - V3LinkDot.h:50: linkDotScope:
  82. - V3LinkDot.cpp:633: Link Module: MODULE 0xa8c5c0 <e247> {d1} u1=0xa976f0 TOP_toplevel L1 [P]
  83. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_029_linkdot.tree
  84. - V3Const.cpp:2409: constifyAll:
  85. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_030_const.tree
  86. - V3Dead.cpp:297: deadifyDTypes:
  87. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_031_deadDType.tree
  88. - V3Case.cpp:490: caseAll:
  89. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_032_case.tree
  90. - V3Task.cpp:1294: taskAll:
  91. dot -Tpdf -o ~/a.pdf obj_dir/Vflipflop_033_task_call.dot
  92. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_034_task.tree
  93. - V3Name.cpp:150: nameAll:
  94. - V3Unroll.cpp:478: unrollAll:
  95. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_036_unroll.tree
  96. - V3Slice.cpp:500: sliceAll:
  97. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_037_slice.tree
  98. - V3Const.cpp:2409: constifyAll:
  99. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_038_const.tree
  100. - V3Life.cpp:515: lifeAll:
  101. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_039_life.tree
  102. - V3Const.cpp:2409: constifyAll:
  103. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_040_const.tree
  104. - V3Dead.cpp:297: deadifyDTypes:
  105. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_041_deadDType.tree
  106. - V3ClkGater.cpp:913: clkGaterAll:
  107. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_042_clkgater.tree
  108. - V3Active.cpp:437: activeAll:
  109. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_043_active.tree
  110. - V3Split.cpp:584: splitAlwaysAll:
  111. - V3SplitAs.cpp:217: splitAsAll:
  112. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_045_splitas.tree
  113. - V3Gate.cpp:1276: gateAll:
  114. dot -Tpdf -o ~/a.pdf obj_dir/Vflipflop_046_gate_simp.dot
  115. dot -Tpdf -o ~/a.pdf obj_dir/Vflipflop_047_gate_opt.dot
  116. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_048_gate.tree
  117. - V3Const.cpp:2409: constifyAll:
  118. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_049_const.tree
  119. - V3Dead.cpp:302: deadifyAll:
  120. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_050_deadAll.tree
  121. - V3Split.cpp:579: splitReorderAll:
  122. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_051_reorder.tree
  123. - V3Delayed.cpp:472: delayedAll:
  124. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_052_delayed.tree
  125. - V3ActiveTop.cpp:161:activeTopAll:
  126. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_053_activetop.tree
  127. - V3Order.cpp:1659: orderAll:
  128. - V3Order.cpp:736: Loading tree...
  129. dot -Tpdf -o ~/a.pdf obj_dir/Vflipflop_054_orderg_pre.dot
  130. - V3Order.cpp:1607: Acyclic & Order...
  131. - V3GraphAcyc.cpp:574:Acyclic
  132. dot -Tpdf -o ~/a.pdf obj_dir/Vflipflop_055_acyc_simp.dot
  133. - V3GraphAcyc.cpp:555: Cutting trivial loops
  134. - V3GraphAcyc.cpp:559: Ranking
  135. - V3GraphAcyc.cpp:563: Placement
  136. - V3GraphAcyc.cpp:454: Cutable edges = 0
  137. - V3GraphAcyc.cpp:567: Final Ranking
  138. - V3GraphAcyc.cpp:577:Acyclic done
  139. dot -Tpdf -o ~/a.pdf obj_dir/Vflipflop_056_orderg_acyc.dot
  140. - V3GraphAlg.cpp:506: Order:
  141. dot -Tpdf -o ~/a.pdf obj_dir/Vflipflop_057_orderg_order.dot
  142. - V3Order.cpp:1619: Process Clocks...
  143. - V3Order.cpp:1622: Process Circulars...
  144. - V3Order.cpp:1626: Domains...
  145. dot -Tpdf -o ~/a.pdf obj_dir/Vflipflop_058_orderg_domain.dot
  146. - V3Order.cpp:1632: Construct Move Graph...
  147. dot -Tpdf -o ~/a.pdf obj_dir/Vflipflop_060_ordermv_simpl.dot
  148. - V3Order.cpp:1638: Move...
  149. - V3Order.cpp:1642: Sensitive...
  150. dot -Tpdf -o ~/a.pdf obj_dir/Vflipflop_061_orderg_done.dot
  151. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_062_order.tree
  152. - V3GenClk.cpp:229: genClkAll:
  153. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_063_genclk.tree
  154. - V3Clock.cpp:408: clockAll:
  155. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_064_clock.tree
  156. - V3Const.cpp:2409: constifyAll:
  157. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_065_const.tree
  158. - V3Life.cpp:515: lifeAll:
  159. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_066_life.tree
  160. - V3LifePost.cpp:193: lifepostAll:
  161. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_067_life_post.tree
  162. - V3Const.cpp:2409: constifyAll:
  163. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_068_const.tree
  164. - V3Dead.cpp:302: deadifyAll:
  165. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_069_deadAll.tree
  166. - V3Changed.cpp:252: changedAll:
  167. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_070_changed.tree
  168. - V3Descope.cpp:263: descopeAll:
  169. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_071_descope.tree
  170. - V3Localize.cpp:249: localizeAll:
  171. - V3Combine.cpp:475: combineAll:
  172. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_073_combine.tree
  173. - V3Const.cpp:2409: constifyAll:
  174. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_074_const.tree
  175. - V3Dead.cpp:302: deadifyAll:
  176. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_075_deadAll.tree
  177. - V3Clean.cpp:293: cleanAll:
  178. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_076_clean.tree
  179. - V3Premit.cpp:404: premitAll:
  180. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_077_premit.tree
  181. - V3Expand.cpp:938: expandAll:
  182. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_078_expand.tree
  183. - V3Const.cpp:2385: constifyCpp:
  184. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_079_const_cpp.tree
  185. - V3Subst.cpp:402: substituteAll:
  186. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_080_subst.tree
  187. - V3Const.cpp:2385: constifyCpp:
  188. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_081_const_cpp.tree
  189. - V3Dead.cpp:302: deadifyAll:
  190. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_082_deadAll.tree
  191. - V3EmitC.cpp:2461: emitc:
  192. - V3StatsReport.cpp:207:statsReport:
  193. - V3Ast.cpp:1026: Dumping obj_dir/Vflipflop_990_final.tree
  194. - Verilator.cpp:621: Done, Exiting...
  195. [Inferior 1 (process 26347) exited normally]
  196. No stack.
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement