Advertisement
Guest User

GCD scala

a guest
Jul 8th, 2014
183
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
Scala 0.41 KB | None | 0 0
  1. object GCD extends App {
  2.  
  3.   println(gcd(3, 0))
  4.   println(gcd(2, 1))
  5.   println(gcd(1, 2))
  6.   println(gcd(2, 4))
  7.   println(gcd(4, 2))
  8.   println(gcd(2, 3))
  9.   println(gcd(3, 2))
  10.   println(gcd(10, 100))
  11.   println(gcd(100, 10))
  12.   println(gcd(634, 28))
  13.   println(gcd(7*13, 7*16))
  14.   //println(gcd(-7*13, 7*16))
  15.  
  16.   def gcd(a: Int, b: Int): Int = b match {
  17.     case 0 => a
  18.     case _ => gcd(b, a % b)
  19.   }
  20.  
  21. }
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement