Advertisement
Guest User

Untitled

a guest
May 25th, 2015
241
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.37 KB | None | 0 0
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3. use ieee.numeric_std.all;
  4. use work.logic.all;
  5.  
  6. entity comp is
  7. port (
  8.     SW: in std_logic_vector(1 downto 0);
  9.     LEDR: out std_logic_vector(2 downto 0)
  10. );
  11. end comp;
  12.  
  13. architecture proc of comp IS
  14.     signal XOR_OUT, AND_OUT, OR_OUT: std_logic;
  15. begin
  16.  
  17.     LEDR(0) <= func_xor(SW);
  18.     proc_and_or(SW, LEDR(2), LEDR(1));
  19.  
  20. end proc;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement