Advertisement
Guest User

Untitled

a guest
Feb 12th, 2016
74
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 3.67 KB | None | 0 0
  1. [37036.721875] ------------[ cut here ]------------
  2. [37036.721881] WARNING: CPU: 0 PID: 1655 at lib/list_debug.c:62 __list_del_entry+0xbb/0xc0()
  3. [37036.721882] list_del corruption. next->prev should be ffff88042940fb38, but was ffff880428382fc8
  4. [37036.721882] Modules linked in: xt_nat veth xfs fuse ipt_MASQUERADE nf_nat_masquerade_ipv4 xt_addrtype br_netfilter nvidia_modeset(POE) dm_thin_pool dm_persistent_data dm_bio_prison libcrc32c loop ip6t_rpfilter ip6t_REJECT nf_reject_ipv6 xt_conntrack ebtable_broute bridge ebtable_filter ebtable_nat ebtables ip6table_security ip6table_mangle ip6table_raw ip6table_nat nf_conntrack_ipv6 nf_defrag_ipv6 nf_nat_ipv6 ip6table_filter ip6_tables iptable_security iptable_mangle iptable_raw iptable_nat nf_conntrack_ipv4 nf_defrag_ipv4 nf_nat_ipv4 nf_nat nf_conntrack it87 hwmon_vid vfat fat xpad ff_memless snd_hda_codec_hdmi joydev intel_rapl snd_usb_audio iosf_mbi x86_pkg_temp_thermal snd_usbmidi_lib snd_hda_intel coretemp snd_hda_codec kvm_intel snd_virtuoso snd_oxygen_lib snd_mpu401_uart ppdev iTCO_wdt iTCO_vendor_support
  5. [37036.721904] snd_rawmidi nvidia(POE) kvm snd_hda_core snd_hwdep crct10dif_pclmul snd_seq snd_seq_device snd_pcm drm snd_timer snd soundcore crc32_pclmul crc32c_intel parport_pc parport shpchp soc_button_array tpm_infineon lpc_ich i2c_i801 mei_me mei tpm_tis tpm nfsd auth_rpcgss nfs_acl lockd grace sunrpc vboxnetadp(OE) vboxnetflt(OE) vboxdrv(OE) hid_logitech_hidpp hid_logitech_dj 8021q garp stp llc mrp e1000e serio_raw ptp pps_core video fjes
  6. [37036.721930] CPU: 0 PID: 1655 Comm: Xorg Tainted: P W OE 4.3.5-300.fc23.x86_64 #1
  7. [37036.721931] Hardware name: Gigabyte Technology Co., Ltd. To be filled by O.E.M./Q87M-D2H, BIOS F7 01/17/2014
  8. [37036.721932] 0000000000000000 00000000939e5e6e ffff88042940f878 ffffffff813a643f
  9. [37036.721933] ffff88042940f8c0 ffff88042940f8b0 ffffffff810a07d2 ffff88042940fb38
  10. [37036.721944] ffff880428382fc0 0000000000000282 ffff88042940fad0 0000000000000002
  11. [37036.721946] Call Trace:
  12. [37036.721949] [<ffffffff813a643f>] dump_stack+0x44/0x55
  13. [37036.721951] [<ffffffff810a07d2>] warn_slowpath_common+0x82/0xc0
  14. [37036.721952] [<ffffffff810a086c>] warn_slowpath_fmt+0x5c/0x80
  15. [37036.721954] [<ffffffff8110acc0>] ? __hrtimer_init+0x90/0x90
  16. [37036.721956] [<ffffffff813c350b>] __list_del_entry+0xbb/0xc0
  17. [37036.721957] [<ffffffff813c351d>] list_del+0xd/0x30
  18. [37036.721959] [<ffffffff810e1fd5>] remove_wait_queue+0x25/0x40
  19. [37036.721972] [<ffffffff81237742>] poll_freewait+0x42/0xa0
  20. [37036.721974] [<ffffffff812382e4>] do_select+0x734/0x800
  21. [37036.721976] [<ffffffff8178189e>] ? _raw_spin_unlock_irqrestore+0xe/0x10
  22. [37036.721977] [<ffffffff81237980>] ? poll_select_copy_remaining+0x140/0x140
  23. [37036.721978] [<ffffffff81237980>] ? poll_select_copy_remaining+0x140/0x140
  24. [37036.721980] [<ffffffff81237980>] ? poll_select_copy_remaining+0x140/0x140
  25. [37036.721981] [<ffffffff81237980>] ? poll_select_copy_remaining+0x140/0x140
  26. [37036.721992] [<ffffffff81237980>] ? poll_select_copy_remaining+0x140/0x140
  27. [37036.721993] [<ffffffff81237980>] ? poll_select_copy_remaining+0x140/0x140
  28. [37036.721994] [<ffffffff81237980>] ? poll_select_copy_remaining+0x140/0x140
  29. [37036.721995] [<ffffffff81237980>] ? poll_select_copy_remaining+0x140/0x140
  30. [37036.721996] [<ffffffff81237980>] ? poll_select_copy_remaining+0x140/0x140
  31. [37036.721998] [<ffffffff8123857f>] core_sys_select+0x1cf/0x2f0
  32. [37036.721999] [<ffffffff8110afa1>] ? hrtimer_try_to_cancel+0xd1/0x110
  33. [37036.722001] [<ffffffff81110615>] ? ktime_get_ts64+0x45/0xf0
  34. [37036.722002] [<ffffffff8123875a>] SyS_select+0xba/0x110
  35. [37036.722004] [<ffffffff81781e2e>] entry_SYSCALL_64_fastpath+0x12/0x71
  36. [37036.722005] ---[ end trace b79c48df2f58c623 ]---
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement