Advertisement
Guest User

Untitled

a guest
Mar 23rd, 2017
65
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 1.44 KB | None | 0 0
  1. Entity MicroprogramController is
  2. port (
  3. RESET: in std_logic;
  4. CLK: in std_logic;
  5. Address_In: in std_logic_vector ( 7 downto 0);
  6. Address_Out: out std_logic_vector ( 7 downto 0)
  7. Instruction_In: in std_logic_vector (15 downto 0);
  8. Constant_Out: out std_logic_vector ( 7 downto 0)
  9. V,C,N,Z: in std_logic;
  10. DX,AX,BX,,FS: out std_logic_vector ( 3 downto 0);
  11. MB,MD,RW,MM,MW: out std_logic
  12. );
  13. End MicroprogramController;
  14.  
  15. Architecture MCU_Behavorial of MicroprogramController is
  16. Begin
  17.  
  18. -- Portmap convention: "Inputs listed first in order of appearance.
  19. -- Then, outputs listed in order of appearance."
  20.  
  21. U1: entity WORK.InstructionDecoderController PORT MAP
  22. ( RESET =>, CLK=>, IR=>, V=>, C=>, -- Input
  23. N=>, Z=>, MB=>, MD=>, RW=>, MM=>, MW=>, --
  24.  
  25. PS=>, IL=>, DX=>, AX=>, BX=>, FS=> -- Output
  26. );
  27.  
  28.  
  29. U2: entity WORK.InstructionRegister PORT MAP
  30. ( RESET=>, CLK=>, Instruction_In=>, IL=>, -- Input
  31.  
  32. IR=> -- Output
  33. );
  34.  
  35.  
  36. U3: entity WORK.MicroprogramController PORT MAP
  37. ( RESET=>, CLK=>, Address_In=>, Instruction_In=>, V=>, C=>, N=>, Z=>, --Input
  38.  
  39. Address_Out=>, Constant_Out=>, DX=>, AX=>, BX=>, FS=>, MB=>, MD=>, --Output
  40. RW=>, MM=>, MW=>
  41. );
  42.  
  43.  
  44. U4: entity WORK.SignExtender PORT MAP
  45. ( IR =>, -- Input
  46.  
  47. Extended_8=> -- Output
  48. );
  49.  
  50.  
  51. U5: entity WORK.ZeroFiller PORT MAP
  52. ( IR=>, -- Input
  53.  
  54. Zero_Filled_9=> -- Output
  55. );
  56.  
  57. End;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement