Advertisement
Guest User

Untitled

a guest
Aug 4th, 2015
150
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.26 KB | None | 0 0
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3. use ieee.std_logic_unsigned.all;
  4.  
  5. entity three_input_gate is
  6. port (
  7. CK, S, P : in std_logic;
  8. Q : out std_logic
  9. );
  10. end entity;
  11.  
  12. architecture IMP of three_input_gate is
  13. begin
  14. Q <= (not P) or (CK and S);
  15. end IMP;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement