Advertisement
Guest User

Untitled

a guest
Mar 25th, 2017
145
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 1.73 KB | None | 0 0
  1. Arduino: 1.8.1 (Mac OS X), Board: "Arduino Pro or Pro Mini, ATmega328 (3.3V, 8 MHz)"
  2.  
  3. Build options changed, rebuilding all
  4. Sketch uses 928 bytes (3%) of program storage space. Maximum is 30720 bytes.
  5. Global variables use 9 bytes (0%) of dynamic memory, leaving 2039 bytes for local variables. Maximum is 2048 bytes.
  6. avrdude: stk500_recv(): programmer is not responding
  7. avrdude: stk500_getsync() attempt 1 of 10: not in sync: resp=0x00
  8. avrdude: stk500_recv(): programmer is not responding
  9. avrdude: stk500_getsync() attempt 2 of 10: not in sync: resp=0x00
  10. avrdude: stk500_recv(): programmer is not responding
  11. avrdude: stk500_getsync() attempt 3 of 10: not in sync: resp=0x00
  12. avrdude: stk500_recv(): programmer is not responding
  13. avrdude: stk500_getsync() attempt 4 of 10: not in sync: resp=0x00
  14. avrdude: stk500_recv(): programmer is not responding
  15. avrdude: stk500_getsync() attempt 5 of 10: not in sync: resp=0x00
  16. avrdude: stk500_recv(): programmer is not responding
  17. avrdude: stk500_getsync() attempt 6 of 10: not in sync: resp=0x00
  18. avrdude: stk500_recv(): programmer is not responding
  19. avrdude: stk500_getsync() attempt 7 of 10: not in sync: resp=0x00
  20. avrdude: stk500_recv(): programmer is not responding
  21. avrdude: stk500_getsync() attempt 8 of 10: not in sync: resp=0x00
  22. avrdude: stk500_recv(): programmer is not responding
  23. avrdude: stk500_getsync() attempt 9 of 10: not in sync: resp=0x00
  24. avrdude: stk500_recv(): programmer is not responding
  25. avrdude: stk500_getsync() attempt 10 of 10: not in sync: resp=0x00
  26. Problem uploading to board. See http://www.arduino.cc/en/Guide/Troubleshooting#upload for suggestions.
  27.  
  28. This report would have more information with
  29. "Show verbose output during compilation"
  30. option enabled in File -> Preferences.
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement