Advertisement
Guest User

Untitled

a guest
Feb 5th, 2016
164
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 12.73 KB | None | 0 0
  1. ## Clock signal
  2. set_property PACKAGE_PIN W5 [get_ports CLK]
  3. set_property IOSTANDARD LVCMOS33 [get_ports CLK]
  4. create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports CLK]
  5.  
  6. ## Switches
  7. set_property PACKAGE_PIN V17 [get_ports {SWITCHES[0]}]
  8. set_property IOSTANDARD LVCMOS33 [get_ports {SWITCHES[0]}]
  9. set_property PACKAGE_PIN V16 [get_ports {SWITCHES[1]}]
  10. set_property IOSTANDARD LVCMOS33 [get_ports {SWITCHES[1]}]
  11. set_property PACKAGE_PIN W16 [get_ports {SWITCHES[2]}]
  12. set_property IOSTANDARD LVCMOS33 [get_ports {SWITCHES[2]}]
  13. set_property PACKAGE_PIN W17 [get_ports {SWITCHES[3]}]
  14. set_property IOSTANDARD LVCMOS33 [get_ports {SWITCHES[3]}]
  15. set_property PACKAGE_PIN W15 [get_ports {SWITCHES[4]}]
  16. set_property IOSTANDARD LVCMOS33 [get_ports {SWITCHES[4]}]
  17. set_property PACKAGE_PIN V15 [get_ports {SWITCHES[5]}]
  18. set_property IOSTANDARD LVCMOS33 [get_ports {SWITCHES[5]}]
  19. set_property PACKAGE_PIN W14 [get_ports {SWITCHES[6]}]
  20. set_property IOSTANDARD LVCMOS33 [get_ports {SWITCHES[6]}]
  21. set_property PACKAGE_PIN W13 [get_ports {SWITCHES[7]}]
  22. set_property IOSTANDARD LVCMOS33 [get_ports {SWITCHES[7]}]
  23. #set_property PACKAGE_PIN V2 [get_ports {SWITCHES[7]}]
  24. #set_property IOSTANDARD LVCMOS33 [get_ports {SWITCHES[7]}]
  25. #set_property PACKAGE_PIN T3 [get_ports {sw[9]}]
  26. #set_property IOSTANDARD LVCMOS33 [get_ports {sw[9]}]
  27. #set_property PACKAGE_PIN T2 [get_ports {sw[10]}]
  28. #set_property IOSTANDARD LVCMOS33 [get_ports {sw[10]}]
  29. #set_property PACKAGE_PIN R3 [get_ports {sw[11]}]
  30. #set_property IOSTANDARD LVCMOS33 [get_ports {sw[11]}]
  31. #set_property PACKAGE_PIN W2 [get_ports {a[0]}]
  32. #set_property IOSTANDARD LVCMOS33 [get_ports {a[0]}]
  33. #set_property PACKAGE_PIN U1 [get_ports {a[1]}]
  34. #set_property IOSTANDARD LVCMOS33 [get_ports {a[1]}]
  35. #set_property PACKAGE_PIN T1 [get_ports {a[2]}]
  36. #set_property IOSTANDARD LVCMOS33 [get_ports {a[2]}]
  37. #set_property PACKAGE_PIN R2 [get_ports {a[3]}]
  38. #set_property IOSTANDARD LVCMOS33 [get_ports {a[3]}]
  39.  
  40.  
  41. ## LEDs
  42. set_property PACKAGE_PIN U16 [get_ports {LEDS[0]}]
  43. set_property IOSTANDARD LVCMOS33 [get_ports {LEDS[0]}]
  44. set_property PACKAGE_PIN U19 [get_ports {LEDS[1]}]
  45. set_property IOSTANDARD LVCMOS33 [get_ports {LEDS[1]}]
  46. set_property PACKAGE_PIN V19 [get_ports {LEDS[2]}]
  47. set_property IOSTANDARD LVCMOS33 [get_ports {LEDS[2]}]
  48. set_property PACKAGE_PIN W18 [get_ports {LEDS[3]}]
  49. set_property IOSTANDARD LVCMOS33 [get_ports {LEDS[3]}]
  50. set_property PACKAGE_PIN U15 [get_ports {LEDS[4]}]
  51. set_property IOSTANDARD LVCMOS33 [get_ports {LEDS[4]}]
  52. set_property PACKAGE_PIN U14 [get_ports {LEDS[5]}]
  53. set_property IOSTANDARD LVCMOS33 [get_ports {LEDS[5]}]
  54. set_property PACKAGE_PIN V14 [get_ports {LEDS[6]}]
  55. set_property IOSTANDARD LVCMOS33 [get_ports {LEDS[6]}]
  56. set_property PACKAGE_PIN V13 [get_ports {LEDS[7]}]
  57. set_property IOSTANDARD LVCMOS33 [get_ports {LEDS[7]}]
  58. #set_property PACKAGE_PIN V3 [get_ports {led[9]}]
  59. #set_property IOSTANDARD LVCMOS33 [get_ports {led[9]}]
  60. #set_property PACKAGE_PIN W3 [get_ports {led[10]}]
  61. #set_property IOSTANDARD LVCMOS33 [get_ports {led[10]}]
  62. #set_property PACKAGE_PIN U3 [get_ports {led[11]}]
  63. #set_property IOSTANDARD LVCMOS33 [get_ports {led[11]}]
  64. #set_property PACKAGE_PIN P3 [get_ports {led[12]}]
  65. #set_property IOSTANDARD LVCMOS33 [get_ports {led[12]}]
  66. #set_property PACKAGE_PIN N3 [get_ports {led[13]}]
  67. #set_property IOSTANDARD LVCMOS33 [get_ports {led[13]}]
  68. #set_property PACKAGE_PIN P1 [get_ports {led[14]}]
  69. #set_property IOSTANDARD LVCMOS33 [get_ports {led[14]}]
  70. #set_property PACKAGE_PIN L1 [get_ports {led[15]}]
  71. #set_property IOSTANDARD LVCMOS33 [get_ports {led[15]}]
  72.  
  73.  
  74. ##7 segment display
  75. #set_property PACKAGE_PIN W7 [get_ports {seg[0]}]
  76. #set_property IOSTANDARD LVCMOS33 [get_ports {seg[0]}]
  77. #set_property PACKAGE_PIN W6 [get_ports {seg[1]}]
  78. #set_property IOSTANDARD LVCMOS33 [get_ports {seg[1]}]
  79. #set_property PACKAGE_PIN U8 [get_ports {seg[2]}]
  80. #set_property IOSTANDARD LVCMOS33 [get_ports {seg[2]}]
  81. #set_property PACKAGE_PIN V8 [get_ports {seg[3]}]
  82. #set_property IOSTANDARD LVCMOS33 [get_ports {seg[3]}]
  83. #set_property PACKAGE_PIN U5 [get_ports {seg[4]}]
  84. #set_property IOSTANDARD LVCMOS33 [get_ports {seg[4]}]
  85. #set_property PACKAGE_PIN V5 [get_ports {seg[5]}]
  86. #set_property IOSTANDARD LVCMOS33 [get_ports {seg[5]}]
  87. #set_property PACKAGE_PIN U7 [get_ports {seg[6]}]
  88. #set_property IOSTANDARD LVCMOS33 [get_ports {seg[6]}]
  89.  
  90. #set_property PACKAGE_PIN V7 [get_ports dp]
  91. #set_property IOSTANDARD LVCMOS33 [get_ports dp]
  92.  
  93. #set_property PACKAGE_PIN U2 [get_ports {an[0]}]
  94. #set_property IOSTANDARD LVCMOS33 [get_ports {an[0]}]
  95. #set_property PACKAGE_PIN U4 [get_ports {an[1]}]
  96. #set_property IOSTANDARD LVCMOS33 [get_ports {an[1]}]
  97. #set_property PACKAGE_PIN V4 [get_ports {an[2]}]
  98. #set_property IOSTANDARD LVCMOS33 [get_ports {an[2]}]
  99. #set_property PACKAGE_PIN W4 [get_ports {an[3]}]
  100. #set_property IOSTANDARD LVCMOS33 [get_ports {an[3]}]
  101.  
  102.  
  103. ##Buttons
  104. set_property PACKAGE_PIN U18 [get_ports RST]
  105. set_property IOSTANDARD LVCMOS33 [get_ports RST]
  106. #set_property PACKAGE_PIN T18 [get_ports btnU]
  107. #set_property IOSTANDARD LVCMOS33 [get_ports btnU]
  108. #set_property PACKAGE_PIN W19 [get_ports btnL]
  109. #set_property IOSTANDARD LVCMOS33 [get_ports btnL]
  110. #set_property PACKAGE_PIN T17 [get_ports btnR]
  111. #set_property IOSTANDARD LVCMOS33 [get_ports btnR]
  112. #set_property PACKAGE_PIN U17 [get_ports btnD]
  113. #set_property IOSTANDARD LVCMOS33 [get_ports btnD]
  114.  
  115.  
  116.  
  117. ##Pmod Header JA
  118. ##Sch name = JA1
  119. #set_property PACKAGE_PIN J1 [get_ports {JA[0]}]
  120. #set_property IOSTANDARD LVCMOS33 [get_ports {JA[0]}]
  121. ##Sch name = JA2
  122. #set_property PACKAGE_PIN L2 [get_ports {JA[1]}]
  123. #set_property IOSTANDARD LVCMOS33 [get_ports {JA[1]}]
  124. ##Sch name = JA3
  125. #set_property PACKAGE_PIN J2 [get_ports {JA[2]}]
  126. #set_property IOSTANDARD LVCMOS33 [get_ports {JA[2]}]
  127. ##Sch name = JA4
  128. #set_property PACKAGE_PIN G2 [get_ports {JA[3]}]
  129. #set_property IOSTANDARD LVCMOS33 [get_ports {JA[3]}]
  130. ##Sch name = JA7
  131. #set_property PACKAGE_PIN H1 [get_ports {JA[4]}]
  132. #set_property IOSTANDARD LVCMOS33 [get_ports {JA[4]}]
  133. ##Sch name = JA8
  134. #set_property PACKAGE_PIN K2 [get_ports {JA[5]}]
  135. #set_property IOSTANDARD LVCMOS33 [get_ports {JA[5]}]
  136. ##Sch name = JA9
  137. #set_property PACKAGE_PIN H2 [get_ports {JA[6]}]
  138. #set_property IOSTANDARD LVCMOS33 [get_ports {JA[6]}]
  139. ##Sch name = JA10
  140. #set_property PACKAGE_PIN G3 [get_ports {JA[7]}]
  141. #set_property IOSTANDARD LVCMOS33 [get_ports {JA[7]}]
  142.  
  143.  
  144.  
  145. ##Pmod Header JB
  146. ##Sch name = JB1
  147. #set_property PACKAGE_PIN A14 [get_ports {JB[0]}]
  148. #set_property IOSTANDARD LVCMOS33 [get_ports {JB[0]}]
  149. ##Sch name = JB2
  150. #set_property PACKAGE_PIN A16 [get_ports {JB[1]}]
  151. #set_property IOSTANDARD LVCMOS33 [get_ports {JB[1]}]
  152. ##Sch name = JB3
  153. #set_property PACKAGE_PIN B15 [get_ports {JB[2]}]
  154. #set_property IOSTANDARD LVCMOS33 [get_ports {JB[2]}]
  155. ##Sch name = JB4
  156. #set_property PACKAGE_PIN B16 [get_ports {JB[3]}]
  157. #set_property IOSTANDARD LVCMOS33 [get_ports {JB[3]}]
  158. ##Sch name = JB7
  159. #set_property PACKAGE_PIN A15 [get_ports {JB[4]}]
  160. #set_property IOSTANDARD LVCMOS33 [get_ports {JB[4]}]
  161. ##Sch name = JB8
  162. #set_property PACKAGE_PIN A17 [get_ports {JB[5]}]
  163. #set_property IOSTANDARD LVCMOS33 [get_ports {JB[5]}]
  164. ##Sch name = JB9
  165. #set_property PACKAGE_PIN C15 [get_ports {JB[6]}]
  166. #set_property IOSTANDARD LVCMOS33 [get_ports {JB[6]}]
  167. ##Sch name = JB10
  168. #set_property PACKAGE_PIN C16 [get_ports {JB[7]}]
  169. #set_property IOSTANDARD LVCMOS33 [get_ports {JB[7]}]
  170.  
  171.  
  172.  
  173. ##Pmod Header JC
  174. ##Sch name = JC1
  175. #set_property PACKAGE_PIN K17 [get_ports {JC[0]}]
  176. #set_property IOSTANDARD LVCMOS33 [get_ports {JC[0]}]
  177. ##Sch name = JC2
  178. #set_property PACKAGE_PIN M18 [get_ports {JC[1]}]
  179. #set_property IOSTANDARD LVCMOS33 [get_ports {JC[1]}]
  180. ##Sch name = JC3
  181. #set_property PACKAGE_PIN N17 [get_ports {JC[2]}]
  182. #set_property IOSTANDARD LVCMOS33 [get_ports {JC[2]}]
  183. ##Sch name = JC4
  184. #set_property PACKAGE_PIN P18 [get_ports {JC[3]}]
  185. #set_property IOSTANDARD LVCMOS33 [get_ports {JC[3]}]
  186. ##Sch name = JC7
  187. #set_property PACKAGE_PIN L17 [get_ports {JC[4]}]
  188. #set_property IOSTANDARD LVCMOS33 [get_ports {JC[4]}]
  189. ##Sch name = JC8
  190. #set_property PACKAGE_PIN M19 [get_ports {JC[5]}]
  191. #set_property IOSTANDARD LVCMOS33 [get_ports {JC[5]}]
  192. ##Sch name = JC9
  193. #set_property PACKAGE_PIN P17 [get_ports {JC[6]}]
  194. #set_property IOSTANDARD LVCMOS33 [get_ports {JC[6]}]
  195. ##Sch name = JC10
  196. #set_property PACKAGE_PIN R18 [get_ports {JC[7]}]
  197. #set_property IOSTANDARD LVCMOS33 [get_ports {JC[7]}]
  198.  
  199.  
  200. ##Pmod Header JXADC
  201. ##Sch name = XA1_P
  202. #set_property PACKAGE_PIN J3 [get_ports {JXADC[0]}]
  203. #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[0]}]
  204. ##Sch name = XA2_P
  205. #set_property PACKAGE_PIN L3 [get_ports {JXADC[1]}]
  206. #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[1]}]
  207. ##Sch name = XA3_P
  208. #set_property PACKAGE_PIN M2 [get_ports {JXADC[2]}]
  209. #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[2]}]
  210. ##Sch name = XA4_P
  211. #set_property PACKAGE_PIN N2 [get_ports {JXADC[3]}]
  212. #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[3]}]
  213. ##Sch name = XA1_N
  214. #set_property PACKAGE_PIN K3 [get_ports {JXADC[4]}]
  215. #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[4]}]
  216. ##Sch name = XA2_N
  217. #set_property PACKAGE_PIN M3 [get_ports {JXADC[5]}]
  218. #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[5]}]
  219. ##Sch name = XA3_N
  220. #set_property PACKAGE_PIN M1 [get_ports {JXADC[6]}]
  221. #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[6]}]
  222. ##Sch name = XA4_N
  223. #set_property PACKAGE_PIN N1 [get_ports {JXADC[7]}]
  224. #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[7]}]
  225.  
  226.  
  227.  
  228. ##VGA Connector
  229. #set_property PACKAGE_PIN G19 [get_ports {vgaRed[0]}]
  230. #set_property IOSTANDARD LVCMOS33 [get_ports {vgaRed[0]}]
  231. #set_property PACKAGE_PIN H19 [get_ports {vgaRed[1]}]
  232. #set_property IOSTANDARD LVCMOS33 [get_ports {vgaRed[1]}]
  233. #set_property PACKAGE_PIN J19 [get_ports {vgaRed[2]}]
  234. #set_property IOSTANDARD LVCMOS33 [get_ports {vgaRed[2]}]
  235. #set_property PACKAGE_PIN N19 [get_ports {vgaRed[3]}]
  236. #set_property IOSTANDARD LVCMOS33 [get_ports {vgaRed[3]}]
  237. #set_property PACKAGE_PIN N18 [get_ports {vgaBlue[0]}]
  238. #set_property IOSTANDARD LVCMOS33 [get_ports {vgaBlue[0]}]
  239. #set_property PACKAGE_PIN L18 [get_ports {vgaBlue[1]}]
  240. #set_property IOSTANDARD LVCMOS33 [get_ports {vgaBlue[1]}]
  241. #set_property PACKAGE_PIN K18 [get_ports {vgaBlue[2]}]
  242. #set_property IOSTANDARD LVCMOS33 [get_ports {vgaBlue[2]}]
  243. #set_property PACKAGE_PIN J18 [get_ports {vgaBlue[3]}]
  244. #set_property IOSTANDARD LVCMOS33 [get_ports {vgaBlue[3]}]
  245. #set_property PACKAGE_PIN J17 [get_ports {vgaGreen[0]}]
  246. #set_property IOSTANDARD LVCMOS33 [get_ports {vgaGreen[0]}]
  247. #set_property PACKAGE_PIN H17 [get_ports {vgaGreen[1]}]
  248. #set_property IOSTANDARD LVCMOS33 [get_ports {vgaGreen[1]}]
  249. #set_property PACKAGE_PIN G17 [get_ports {vgaGreen[2]}]
  250. #set_property IOSTANDARD LVCMOS33 [get_ports {vgaGreen[2]}]
  251. #set_property PACKAGE_PIN D17 [get_ports {vgaGreen[3]}]
  252. #set_property IOSTANDARD LVCMOS33 [get_ports {vgaGreen[3]}]
  253. #set_property PACKAGE_PIN P19 [get_ports Hsync]
  254. #set_property IOSTANDARD LVCMOS33 [get_ports Hsync]
  255. #set_property PACKAGE_PIN R19 [get_ports Vsync]
  256. #set_property IOSTANDARD LVCMOS33 [get_ports Vsync]
  257.  
  258.  
  259. ##USB-RS232 Interface
  260. #set_property PACKAGE_PIN B18 [get_ports RsRx]
  261. #set_property IOSTANDARD LVCMOS33 [get_ports RsRx]
  262. #set_property PACKAGE_PIN A18 [get_ports RsTx]
  263. #set_property IOSTANDARD LVCMOS33 [get_ports RsTx]
  264.  
  265.  
  266. ##USB HID (PS/2)
  267. #set_property PACKAGE_PIN C17 [get_ports PS2Clk]
  268. #set_property IOSTANDARD LVCMOS33 [get_ports PS2Clk]
  269. #set_property PULLUP true [get_ports PS2Clk]
  270. #set_property PACKAGE_PIN B17 [get_ports PS2Data]
  271. #set_property IOSTANDARD LVCMOS33 [get_ports PS2Data]
  272. #set_property PULLUP true [get_ports PS2Data]
  273.  
  274.  
  275. ##Quad SPI Flash
  276. ##Note that CCLK_0 cannot be placed in 7 series devices. You can access it using the
  277. ##STARTUPE2 primitive.
  278. #set_property PACKAGE_PIN D18 [get_ports {QspiDB[0]}]
  279. #set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB[0]}]
  280. #set_property PACKAGE_PIN D19 [get_ports {QspiDB[1]}]
  281. #set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB[1]}]
  282. #set_property PACKAGE_PIN G18 [get_ports {QspiDB[2]}]
  283. #set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB[2]}]
  284. #set_property PACKAGE_PIN F18 [get_ports {QspiDB[3]}]
  285. #set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB[3]}]
  286. #set_property PACKAGE_PIN K19 [get_ports QspiCSn]
  287. #set_property IOSTANDARD LVCMOS33 [get_ports QspiCSn]
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement