Advertisement
Benny1994

Untitled

Sep 10th, 2023
954
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. `timescale 1ns/1ps
  2. module buttontoled_tb(input i_sw, output o_led);  
  3.     buttontoled UUT (.i_sw(ai_sw), .o_led(o_led));
  4.     always@(i_sw)// i would asume this is always statment is correct?
  5.     begin
  6.         i_sw = ~i_sw;
  7.         #10;
  8.     end    
  9.  
  10.  endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement