Advertisement
Guest User

Untitled

a guest
Mar 26th, 2017
91
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. `timescale 1ns/1ps
  2.  
  3. module counter_test;
  4.  
  5.  
  6. logic clk,rst;
  7. logic [3:0] result;
  8.  logic[3:0] start;
  9. initial begin                                              
  10.     clk=0;                
  11.     forever #10 clk = ~clk;
  12. end
  13.  
  14.    
  15.        
  16. initial
  17. begin
  18.     for(logic[3:0] i = 0; i < 6; i++)
  19.       transaction(i);  
  20.     #100 $stop;
  21. end
  22.  
  23.  task transaction;
  24.    input [3:0] start_;
  25.    begin
  26.      start = start_;
  27.     rst = 0;
  28.     #10 rst = 1;
  29.     #10 $strobe("START: %d", start);
  30.     repeat(16) #20 $strobe("Counter value: %d", result);  
  31.     end
  32.    
  33.  endtask
  34.  
  35. bin_cnt test_dev(clk, rst,start,  result);
  36. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement