Advertisement
Guest User

Untitled

a guest
Sep 24th, 2016
89
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.35 KB | None | 0 0
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3. entity c is
  4.  
  5.   port (
  6.     o : out std_ulogic;
  7.     c : in  std_ulogic;
  8.     i : in  std_ulogic);
  9.  
  10. end entity c;
  11.  
  12. architecture rtl of c is
  13.  
  14. begin  -- architecture rtl
  15.  
  16.   process (c) is
  17.   begin
  18.     if rising_edge(c) then
  19.       o <= i xor o;
  20.     end if;
  21.   end process;
  22.  
  23. end architecture rtl;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement