Advertisement
Guest User

Terminal Log

a guest
May 13th, 2016
69
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 1.64 KB | None | 0 0
  1. Connecting to: /dev/ttyUSB0
  2. Changing monitoring state from 'Offline' to 'Opening serial port'
  3. Connected to: Serial<id=0x2a93630, open=True>(port='/dev/ttyUSB0', baudrate=250000, bytesize=8, parity='N', stopbits=1, timeout=10.0, xonxoff=False, rtscts=False, dsrdtr=False), starting monitor
  4. Changing monitoring state from 'Opening serial port' to 'Connecting'
  5. Send: N0 M110*35
  6. Recv: Start
  7. Recv: Start Watchdog
  8. Recv: Info:External Reset
  9. Recv: Free RAM:2904
  10. Recv: SD init fail
  11. Recv: restoreDefaultScanParameters(): the default scan parameters have been restored
  12. Recv: Compensation matrix:
  13. Recv: front left ... front right
  14. Recv: ... ... ...
  15. Recv: back left ... back right
  16. Recv: ;4;0;15;35;55;75;95;115;135;155;175;195;215;245
  17. Recv: ;0;560;594;645;713;787;836;867;875;863;811;724;674;674
  18. Recv: ;30;527;566;627;705;784;836;868;874;865;821;740;693;674
  19. Recv: ;50;443;500;588;686;778;833;872;884;881;846;769;721;712
  20. Recv: ;70;372;439;549;655;754;822;872;893;891;856;783;740;730
  21. Recv: ;90;339;402;515;616;716;799;856;883;891;859;788;753;750
  22. Recv: ;110;302;365;483;585;686;768;826;862;882;859;789;754;756
  23. Recv: ;130;275;335;464;570;664;749;811;847;864;840;772;743;752
  24. Recv: ;150;267;319;451;573;675;751;802;838;855;825;756;733;734
  25. Recv: ;170;265;322;455;577;685;758;805;846;861;829;761;738;732
  26. Recv: ;190;271;324;450;558;658;741;800;843;859;833;764;733;744
  27. Recv: ;210;274;317;433;542;640;723;781;826;847;829;760;722;722
  28. Recv: ;245;274;274;360;506;578;702;744;818;834;860;798;722;722
  29. Recv: offset = 893
  30. Recv: g_uHeatBedMaxX = 14
  31. Recv: g_uHeatBedMaxY = 13
  32. Recv: wait
  33. Recv: wait
  34. Recv: wait
  35. Recv: wait
  36. Recv: wait
  37. Recv: wait
  38. Recv: wait
  39. Recv: wait
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement