Advertisement
Guest User

stuff

a guest
Apr 29th, 2016
63
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.24 KB | None | 0 0
  1. module test(delay,CLOCK_50)
  2. out reg delay;
  3. input CLOCK_50;
  4. reg [25:0] count;
  5.  
  6. always @(posedge CLOCK_50)
  7. begin
  8. if (count==26'd49_999_999)
  9. begin
  10. count<=26'd0;
  11. delay<=1;
  12. end
  13. else
  14.  
  15. begincount<=count+1;
  16. delay<=0;
  17. end
  18. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement