Advertisement
Guest User

Untitled

a guest
Jan 23rd, 2017
82
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 1.34 KB | None | 0 0
  1. library IEEE;
  2. use IEEE.STD_LOGIC_1164.ALL;
  3. entity A1 is
  4. Port (Clk : in STD_LOGIC; --GCLK0 (V10)
  5. x : in STD_LOGIC;--SW7 (T5)
  6. T : in STD_LOGIC;
  7. --BTND (C9)
  8. R : in STD_LOGIC;
  9. --SW6 (V8)
  10. z1 : out STD_LOGIC;
  11. --LD7 (T11)
  12. z2 : out STD_LOGIC);
  13. --LD6 (R11)
  14. end A1;
  15. architecture Behavioral of A1 is
  16. component divider is
  17. Port ( Clk : in STD_LOGIC;
  18. Clk_div : inout STD_LOGIC := '0');
  19. end component divider;
  20. component impuls is
  21. Port( Clk_div, T : in STD_LOGIC;
  22. C : buffer STD_LOGIC);
  23. end component impuls;
  24. component NAND4 is
  25. Port(a,b,c,d : in STD_LOGIC:='1';
  26. z : out STD_LOGIC);
  27. end component NAND4;
  28. component FFD is
  29. Port(D, C, R : in STD_LOGIC;
  30. Q, nQ : out STD_LOGIC);
  31. end component FFD;
  32. signal Clk_div,C, nx, Q1,Q2,nQ1,nQ2, v1,v2,v3,v4, D1,D2 : STD_LOGIC;
  33. begin
  34. c1: divider port map (Clk, Clk_div);
  35. c2: impuls port map(Clk_div, T, C);
  36. b0: NAND4 port map (a => x, z => nx);
  37. b1: NAND4 port map (nQ1, Q2, nx, '1', v1);
  38. b2: NAND4 port map (Q1, nQ2, nx, '1', v2);
  39. b3: NAND4 port map (nQ1, nQ2, x, '1', v3);
  40. b4: NAND4 port map (Q1, Q2, x, '1', v4);
  41. b5: NAND4 port map (v1, v2, v3, v4, D1);D2 <= nQ2;
  42. p1: FFD port map (D1, C, R, Q1, nQ1);
  43. p2: FFD port map (D2, C, R, Q2, nQ2);
  44. b6: NAND4 port map (nQ1, nQ2, '1', '1', z1); z2 <= Q2;
  45. end Behavioral;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement