Advertisement
tsester

7segment:0-9.circ

Jan 3rd, 2016
105
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
XML 24.23 KB | None | 0 0
  1. <?xml version="1.0" encoding="UTF-8" standalone="no"?>
  2. <project source="2.7.1" version="1.0">
  3. This file is intended to be loaded by Logisim (http://www.cburch.com/logisim/).
  4. <lib desc="#Wiring" name="0"/>
  5.   <lib desc="#Gates" name="1">
  6.     <tool name="AND Gate">
  7.       <a name="inputs" val="3"/>
  8.     </tool>
  9.     <tool name="OR Gate">
  10.       <a name="inputs" val="2"/>
  11.     </tool>
  12.     <tool name="NOR Gate">
  13.       <a name="inputs" val="3"/>
  14.     </tool>
  15.     <tool name="XOR Gate">
  16.       <a name="inputs" val="2"/>
  17.     </tool>
  18.   </lib>
  19.   <lib desc="#Plexers" name="2"/>
  20.   <lib desc="#Arithmetic" name="3"/>
  21.   <lib desc="#Memory" name="4">
  22.     <tool name="ROM">
  23.       <a name="contents">addr/data: 8 8
  24. 0
  25. </a>
  26.     </tool>
  27.   </lib>
  28.   <lib desc="#I/O" name="5"/>
  29.   <lib desc="#Base" name="6">
  30.     <tool name="Text Tool">
  31.       <a name="text" val=""/>
  32.       <a name="font" val="SansSerif plain 12"/>
  33.       <a name="halign" val="center"/>
  34.       <a name="valign" val="base"/>
  35.     </tool>
  36.   </lib>
  37.   <main name="Fa"/>
  38.   <options>
  39.     <a name="gateUndefined" val="ignore"/>
  40.     <a name="simlimit" val="1000"/>
  41.     <a name="simrand" val="0"/>
  42.   </options>
  43.   <mappings>
  44.     <tool lib="6" map="Button2" name="Menu Tool"/>
  45.     <tool lib="6" map="Button3" name="Menu Tool"/>
  46.     <tool lib="6" map="Ctrl Button1" name="Menu Tool"/>
  47.   </mappings>
  48.   <toolbar>
  49.     <tool lib="6" name="Poke Tool"/>
  50.     <tool lib="6" name="Edit Tool"/>
  51.     <tool lib="6" name="Text Tool">
  52.       <a name="text" val=""/>
  53.       <a name="font" val="SansSerif plain 12"/>
  54.       <a name="halign" val="center"/>
  55.       <a name="valign" val="base"/>
  56.     </tool>
  57.     <sep/>
  58.     <tool lib="0" name="Pin">
  59.       <a name="tristate" val="false"/>
  60.     </tool>
  61.     <tool lib="0" name="Pin">
  62.       <a name="facing" val="west"/>
  63.       <a name="output" val="true"/>
  64.       <a name="labelloc" val="east"/>
  65.     </tool>
  66.     <tool lib="1" name="NOT Gate"/>
  67.     <tool lib="1" name="AND Gate"/>
  68.     <tool lib="1" name="OR Gate"/>
  69.   </toolbar>
  70.   <circuit name="main">
  71.     <a name="circuit" val="main"/>
  72.     <a name="clabel" val=""/>
  73.     <a name="clabelup" val="east"/>
  74.     <a name="clabelfont" val="SansSerif plain 12"/>
  75.     <wire from="(100,420)" to="(100,680)"/>
  76.     <wire from="(300,120)" to="(420,120)"/>
  77.     <wire from="(80,440)" to="(130,440)"/>
  78.     <wire from="(390,150)" to="(390,160)"/>
  79.     <wire from="(410,130)" to="(410,160)"/>
  80.     <wire from="(90,380)" to="(130,380)"/>
  81.     <wire from="(160,420)" to="(190,420)"/>
  82.     <wire from="(360,230)" to="(360,330)"/>
  83.     <wire from="(100,160)" to="(130,160)"/>
  84.     <wire from="(100,200)" to="(130,200)"/>
  85.     <wire from="(100,240)" to="(130,240)"/>
  86.     <wire from="(100,280)" to="(130,280)"/>
  87.     <wire from="(100,320)" to="(130,320)"/>
  88.     <wire from="(90,170)" to="(90,210)"/>
  89.     <wire from="(90,210)" to="(90,250)"/>
  90.     <wire from="(90,250)" to="(90,290)"/>
  91.     <wire from="(90,290)" to="(90,330)"/>
  92.     <wire from="(220,210)" to="(240,210)"/>
  93.     <wire from="(220,250)" to="(240,250)"/>
  94.     <wire from="(220,290)" to="(240,290)"/>
  95.     <wire from="(220,330)" to="(240,330)"/>
  96.     <wire from="(220,370)" to="(240,370)"/>
  97.     <wire from="(90,330)" to="(90,380)"/>
  98.     <wire from="(100,100)" to="(100,160)"/>
  99.     <wire from="(340,150)" to="(340,420)"/>
  100.     <wire from="(340,150)" to="(390,150)"/>
  101.     <wire from="(410,220)" to="(410,250)"/>
  102.     <wire from="(90,430)" to="(130,430)"/>
  103.     <wire from="(70,130)" to="(110,130)"/>
  104.     <wire from="(100,370)" to="(130,370)"/>
  105.     <wire from="(70,100)" to="(100,100)"/>
  106.     <wire from="(370,240)" to="(400,240)"/>
  107.     <wire from="(360,230)" to="(390,230)"/>
  108.     <wire from="(110,150)" to="(130,150)"/>
  109.     <wire from="(70,70)" to="(90,70)"/>
  110.     <wire from="(220,420)" to="(240,420)"/>
  111.     <wire from="(110,360)" to="(110,410)"/>
  112.     <wire from="(90,380)" to="(90,430)"/>
  113.     <wire from="(70,40)" to="(80,40)"/>
  114.     <wire from="(240,160)" to="(310,160)"/>
  115.     <wire from="(90,430)" to="(90,680)"/>
  116.     <wire from="(240,210)" to="(300,210)"/>
  117.     <wire from="(80,40)" to="(80,180)"/>
  118.     <wire from="(80,180)" to="(130,180)"/>
  119.     <wire from="(80,220)" to="(130,220)"/>
  120.     <wire from="(80,260)" to="(130,260)"/>
  121.     <wire from="(80,300)" to="(130,300)"/>
  122.     <wire from="(80,340)" to="(130,340)"/>
  123.     <wire from="(110,410)" to="(110,680)"/>
  124.     <wire from="(240,370)" to="(350,370)"/>
  125.     <wire from="(400,140)" to="(400,160)"/>
  126.     <wire from="(400,220)" to="(400,240)"/>
  127.     <wire from="(110,130)" to="(110,150)"/>
  128.     <wire from="(310,130)" to="(410,130)"/>
  129.     <wire from="(310,130)" to="(310,160)"/>
  130.     <wire from="(240,420)" to="(340,420)"/>
  131.     <wire from="(160,160)" to="(190,160)"/>
  132.     <wire from="(100,420)" to="(130,420)"/>
  133.     <wire from="(350,140)" to="(350,370)"/>
  134.     <wire from="(100,160)" to="(100,200)"/>
  135.     <wire from="(80,180)" to="(80,220)"/>
  136.     <wire from="(100,200)" to="(100,240)"/>
  137.     <wire from="(80,220)" to="(80,260)"/>
  138.     <wire from="(100,240)" to="(100,280)"/>
  139.     <wire from="(100,280)" to="(100,320)"/>
  140.     <wire from="(80,260)" to="(80,300)"/>
  141.     <wire from="(80,300)" to="(80,340)"/>
  142.     <wire from="(110,360)" to="(130,360)"/>
  143.     <wire from="(420,120)" to="(420,160)"/>
  144.     <wire from="(100,320)" to="(100,370)"/>
  145.     <wire from="(80,340)" to="(80,390)"/>
  146.     <wire from="(240,330)" to="(360,330)"/>
  147.     <wire from="(350,140)" to="(400,140)"/>
  148.     <wire from="(390,220)" to="(390,230)"/>
  149.     <wire from="(80,390)" to="(130,390)"/>
  150.     <wire from="(110,150)" to="(110,360)"/>
  151.     <wire from="(240,250)" to="(410,250)"/>
  152.     <wire from="(90,170)" to="(130,170)"/>
  153.     <wire from="(90,210)" to="(130,210)"/>
  154.     <wire from="(90,250)" to="(130,250)"/>
  155.     <wire from="(90,290)" to="(130,290)"/>
  156.     <wire from="(90,330)" to="(130,330)"/>
  157.     <wire from="(300,120)" to="(300,210)"/>
  158.     <wire from="(160,250)" to="(190,250)"/>
  159.     <wire from="(160,210)" to="(190,210)"/>
  160.     <wire from="(160,290)" to="(190,290)"/>
  161.     <wire from="(160,330)" to="(190,330)"/>
  162.     <wire from="(160,370)" to="(190,370)"/>
  163.     <wire from="(90,70)" to="(90,170)"/>
  164.     <wire from="(110,410)" to="(130,410)"/>
  165.     <wire from="(220,160)" to="(240,160)"/>
  166.     <wire from="(80,440)" to="(80,680)"/>
  167.     <wire from="(100,370)" to="(100,420)"/>
  168.     <wire from="(80,390)" to="(80,440)"/>
  169.     <wire from="(370,240)" to="(370,290)"/>
  170.     <wire from="(240,290)" to="(370,290)"/>
  171.     <comp lib="1" loc="(220,160)" name="NOT Gate"/>
  172.     <comp lib="0" loc="(240,420)" name="Pin">
  173.       <a name="facing" val="west"/>
  174.       <a name="output" val="true"/>
  175.       <a name="label" val="g"/>
  176.       <a name="labelloc" val="east"/>
  177.     </comp>
  178.     <comp lib="1" loc="(220,420)" name="NOT Gate"/>
  179.     <comp lib="0" loc="(70,40)" name="Pin">
  180.       <a name="tristate" val="false"/>
  181.       <a name="label" val="A"/>
  182.     </comp>
  183.     <comp lib="5" loc="(390,160)" name="7-Segment Display"/>
  184.     <comp lib="0" loc="(240,160)" name="Pin">
  185.       <a name="facing" val="west"/>
  186.       <a name="output" val="true"/>
  187.       <a name="label" val="a"/>
  188.       <a name="labelloc" val="east"/>
  189.     </comp>
  190.     <comp lib="0" loc="(240,370)" name="Pin">
  191.       <a name="facing" val="west"/>
  192.       <a name="output" val="true"/>
  193.       <a name="label" val="f"/>
  194.       <a name="labelloc" val="east"/>
  195.     </comp>
  196.     <comp lib="0" loc="(70,130)" name="Pin">
  197.       <a name="tristate" val="false"/>
  198.       <a name="label" val="D"/>
  199.     </comp>
  200.     <comp loc="(160,210)" name="Fb"/>
  201.     <comp lib="0" loc="(70,100)" name="Pin">
  202.       <a name="tristate" val="false"/>
  203.       <a name="label" val="C"/>
  204.     </comp>
  205.     <comp loc="(160,290)" name="Fd"/>
  206.     <comp lib="1" loc="(220,210)" name="NOT Gate"/>
  207.     <comp lib="0" loc="(240,330)" name="Pin">
  208.       <a name="facing" val="west"/>
  209.       <a name="output" val="true"/>
  210.       <a name="label" val="e"/>
  211.       <a name="labelloc" val="east"/>
  212.     </comp>
  213.     <comp lib="0" loc="(70,70)" name="Pin">
  214.       <a name="tristate" val="false"/>
  215.       <a name="label" val="B"/>
  216.     </comp>
  217.     <comp lib="1" loc="(220,330)" name="NOT Gate"/>
  218.     <comp lib="0" loc="(240,210)" name="Pin">
  219.       <a name="facing" val="west"/>
  220.       <a name="output" val="true"/>
  221.       <a name="label" val="b"/>
  222.       <a name="labelloc" val="east"/>
  223.     </comp>
  224.     <comp lib="1" loc="(220,250)" name="NOT Gate"/>
  225.     <comp loc="(160,370)" name="Ff"/>
  226.     <comp lib="1" loc="(220,290)" name="NOT Gate"/>
  227.     <comp loc="(160,250)" name="Fc"/>
  228.     <comp loc="(160,160)" name="Fa"/>
  229.     <comp lib="1" loc="(220,370)" name="NOT Gate"/>
  230.     <comp loc="(160,330)" name="Fe"/>
  231.     <comp lib="0" loc="(240,250)" name="Pin">
  232.       <a name="facing" val="west"/>
  233.       <a name="output" val="true"/>
  234.       <a name="label" val="c"/>
  235.       <a name="labelloc" val="east"/>
  236.     </comp>
  237.     <comp lib="0" loc="(240,290)" name="Pin">
  238.       <a name="facing" val="west"/>
  239.       <a name="output" val="true"/>
  240.       <a name="label" val="d"/>
  241.       <a name="labelloc" val="east"/>
  242.     </comp>
  243.     <comp loc="(160,420)" name="Fg"/>
  244.   </circuit>
  245.   <circuit name="Fa">
  246.     <a name="circuit" val="Fa"/>
  247.     <a name="clabel" val=""/>
  248.     <a name="clabelup" val="east"/>
  249.     <a name="clabelfont" val="SansSerif plain 12"/>
  250.     <wire from="(460,60)" to="(460,130)"/>
  251.     <wire from="(480,70)" to="(480,140)"/>
  252.     <wire from="(450,40)" to="(510,40)"/>
  253.     <wire from="(530,90)" to="(530,100)"/>
  254.     <wire from="(620,40)" to="(620,50)"/>
  255.     <wire from="(570,50)" to="(620,50)"/>
  256.     <wire from="(460,60)" to="(510,60)"/>
  257.     <wire from="(50,30)" to="(50,50)"/>
  258.     <wire from="(40,170)" to="(400,170)"/>
  259.     <wire from="(400,140)" to="(400,170)"/>
  260.     <wire from="(40,130)" to="(460,130)"/>
  261.     <wire from="(710,40)" to="(710,60)"/>
  262.     <wire from="(630,80)" to="(630,120)"/>
  263.     <wire from="(400,140)" to="(430,140)"/>
  264.     <wire from="(480,70)" to="(510,70)"/>
  265.     <wire from="(630,80)" to="(650,80)"/>
  266.     <wire from="(610,120)" to="(630,120)"/>
  267.     <wire from="(40,90)" to="(450,90)"/>
  268.     <wire from="(710,40)" to="(730,40)"/>
  269.     <wire from="(530,100)" to="(560,100)"/>
  270.     <wire from="(460,140)" to="(480,140)"/>
  271.     <wire from="(620,40)" to="(650,40)"/>
  272.     <wire from="(450,40)" to="(450,90)"/>
  273.     <wire from="(50,30)" to="(510,30)"/>
  274.     <wire from="(40,50)" to="(50,50)"/>
  275.     <wire from="(450,90)" to="(530,90)"/>
  276.     <wire from="(480,140)" to="(560,140)"/>
  277.     <wire from="(700,60)" to="(710,60)"/>
  278.     <comp lib="0" loc="(40,130)" name="Pin">
  279.       <a name="tristate" val="false"/>
  280.       <a name="label" val="C"/>
  281.     </comp>
  282.     <comp lib="0" loc="(40,50)" name="Pin">
  283.       <a name="tristate" val="false"/>
  284.       <a name="label" val="A"/>
  285.     </comp>
  286.     <comp lib="0" loc="(730,40)" name="Pin">
  287.       <a name="facing" val="west"/>
  288.       <a name="output" val="true"/>
  289.       <a name="label" val="Fa"/>
  290.       <a name="labelloc" val="east"/>
  291.     </comp>
  292.     <comp lib="0" loc="(40,170)" name="Pin">
  293.       <a name="tristate" val="false"/>
  294.       <a name="label" val="D"/>
  295.     </comp>
  296.     <comp lib="1" loc="(610,120)" name="AND Gate">
  297.       <a name="inputs" val="2"/>
  298.     </comp>
  299.     <comp lib="1" loc="(700,60)" name="OR Gate">
  300.       <a name="inputs" val="2"/>
  301.     </comp>
  302.     <comp lib="0" loc="(40,90)" name="Pin">
  303.       <a name="tristate" val="false"/>
  304.       <a name="label" val="B"/>
  305.     </comp>
  306.     <comp lib="1" loc="(570,50)" name="NOR Gate">
  307.       <a name="inputs" val="4"/>
  308.     </comp>
  309.     <comp lib="1" loc="(460,140)" name="NOT Gate"/>
  310.   </circuit>
  311.   <circuit name="Fb">
  312.     <a name="circuit" val="Fb"/>
  313.     <a name="clabel" val=""/>
  314.     <a name="clabelup" val="east"/>
  315.     <a name="clabelfont" val="SansSerif plain 12"/>
  316.     <wire from="(570,70)" to="(570,80)"/>
  317.     <wire from="(470,120)" to="(500,120)"/>
  318.     <wire from="(470,160)" to="(500,160)"/>
  319.     <wire from="(680,100)" to="(710,100)"/>
  320.     <wire from="(710,20)" to="(740,20)"/>
  321.     <wire from="(570,80)" to="(630,80)"/>
  322.     <wire from="(570,120)" to="(630,120)"/>
  323.     <wire from="(470,110)" to="(470,120)"/>
  324.     <wire from="(470,150)" to="(470,160)"/>
  325.     <wire from="(50,70)" to="(570,70)"/>
  326.     <wire from="(710,20)" to="(710,100)"/>
  327.     <wire from="(570,120)" to="(570,140)"/>
  328.     <wire from="(50,110)" to="(470,110)"/>
  329.     <wire from="(50,150)" to="(470,150)"/>
  330.     <wire from="(560,140)" to="(570,140)"/>
  331.     <comp lib="0" loc="(50,110)" name="Pin">
  332.       <a name="tristate" val="false"/>
  333.       <a name="label" val="C"/>
  334.     </comp>
  335.     <comp lib="1" loc="(680,100)" name="AND Gate">
  336.       <a name="inputs" val="2"/>
  337.     </comp>
  338.     <comp lib="0" loc="(50,70)" name="Pin">
  339.       <a name="tristate" val="false"/>
  340.       <a name="label" val="B"/>
  341.     </comp>
  342.     <comp lib="1" loc="(560,140)" name="XOR Gate">
  343.       <a name="inputs" val="2"/>
  344.     </comp>
  345.     <comp lib="0" loc="(740,20)" name="Pin">
  346.       <a name="facing" val="west"/>
  347.       <a name="output" val="true"/>
  348.       <a name="label" val="Fb"/>
  349.       <a name="labelloc" val="east"/>
  350.     </comp>
  351.     <comp lib="0" loc="(50,150)" name="Pin">
  352.       <a name="tristate" val="false"/>
  353.       <a name="label" val="D"/>
  354.     </comp>
  355.   </circuit>
  356.   <circuit name="Fc">
  357.     <a name="circuit" val="Fc"/>
  358.     <a name="clabel" val=""/>
  359.     <a name="clabelup" val="east"/>
  360.     <a name="clabelfont" val="SansSerif plain 12"/>
  361.     <wire from="(180,70)" to="(370,70)"/>
  362.     <wire from="(40,70)" to="(160,70)"/>
  363.     <wire from="(40,150)" to="(160,150)"/>
  364.     <wire from="(700,20)" to="(730,20)"/>
  365.     <wire from="(180,70)" to="(180,110)"/>
  366.     <wire from="(700,20)" to="(700,90)"/>
  367.     <wire from="(420,90)" to="(700,90)"/>
  368.     <wire from="(40,110)" to="(180,110)"/>
  369.     <wire from="(290,110)" to="(370,110)"/>
  370.     <wire from="(160,70)" to="(160,90)"/>
  371.     <wire from="(160,130)" to="(160,150)"/>
  372.     <wire from="(160,90)" to="(230,90)"/>
  373.     <wire from="(160,130)" to="(230,130)"/>
  374.     <comp lib="1" loc="(290,110)" name="NOR Gate">
  375.       <a name="inputs" val="2"/>
  376.     </comp>
  377.     <comp lib="1" loc="(420,90)" name="AND Gate">
  378.       <a name="inputs" val="2"/>
  379.     </comp>
  380.     <comp lib="0" loc="(730,20)" name="Pin">
  381.       <a name="facing" val="west"/>
  382.       <a name="output" val="true"/>
  383.       <a name="label" val="Fc"/>
  384.       <a name="labelloc" val="east"/>
  385.     </comp>
  386.     <comp lib="0" loc="(40,150)" name="Pin">
  387.       <a name="tristate" val="false"/>
  388.       <a name="label" val="D"/>
  389.     </comp>
  390.     <comp lib="0" loc="(40,110)" name="Pin">
  391.       <a name="tristate" val="false"/>
  392.       <a name="label" val="C"/>
  393.     </comp>
  394.     <comp lib="0" loc="(40,70)" name="Pin">
  395.       <a name="tristate" val="false"/>
  396.       <a name="label" val="B"/>
  397.     </comp>
  398.   </circuit>
  399.   <circuit name="Fd">
  400.     <a name="circuit" val="Fd"/>
  401.     <a name="clabel" val=""/>
  402.     <a name="clabelup" val="east"/>
  403.     <a name="clabelfont" val="SansSerif plain 12"/>
  404.     <wire from="(190,90)" to="(250,90)"/>
  405.     <wire from="(40,160)" to="(160,160)"/>
  406.     <wire from="(150,30)" to="(150,40)"/>
  407.     <wire from="(160,150)" to="(160,160)"/>
  408.     <wire from="(160,110)" to="(210,110)"/>
  409.     <wire from="(160,50)" to="(210,50)"/>
  410.     <wire from="(370,90)" to="(370,110)"/>
  411.     <wire from="(180,70)" to="(180,150)"/>
  412.     <wire from="(60,80)" to="(60,100)"/>
  413.     <wire from="(50,40)" to="(50,130)"/>
  414.     <wire from="(150,30)" to="(190,30)"/>
  415.     <wire from="(160,80)" to="(160,110)"/>
  416.     <wire from="(60,80)" to="(160,80)"/>
  417.     <wire from="(160,50)" to="(160,80)"/>
  418.     <wire from="(270,130)" to="(310,130)"/>
  419.     <wire from="(50,40)" to="(150,40)"/>
  420.     <wire from="(180,70)" to="(210,70)"/>
  421.     <wire from="(180,150)" to="(210,150)"/>
  422.     <wire from="(700,30)" to="(700,70)"/>
  423.     <wire from="(280,90)" to="(310,90)"/>
  424.     <wire from="(700,30)" to="(730,30)"/>
  425.     <wire from="(370,90)" to="(390,90)"/>
  426.     <wire from="(40,100)" to="(60,100)"/>
  427.     <wire from="(160,150)" to="(180,150)"/>
  428.     <wire from="(190,30)" to="(210,30)"/>
  429.     <wire from="(360,110)" to="(370,110)"/>
  430.     <wire from="(40,130)" to="(50,130)"/>
  431.     <wire from="(440,70)" to="(700,70)"/>
  432.     <wire from="(260,50)" to="(390,50)"/>
  433.     <wire from="(190,30)" to="(190,90)"/>
  434.     <comp lib="1" loc="(270,130)" name="XOR Gate">
  435.       <a name="inputs" val="2"/>
  436.     </comp>
  437.     <comp lib="1" loc="(360,110)" name="AND Gate">
  438.       <a name="inputs" val="2"/>
  439.     </comp>
  440.     <comp lib="1" loc="(440,70)" name="OR Gate">
  441.       <a name="inputs" val="2"/>
  442.     </comp>
  443.     <comp lib="1" loc="(260,50)" name="AND Gate">
  444.       <a name="inputs" val="3"/>
  445.     </comp>
  446.     <comp lib="0" loc="(40,160)" name="Pin">
  447.       <a name="tristate" val="false"/>
  448.       <a name="label" val="D"/>
  449.     </comp>
  450.     <comp lib="0" loc="(40,130)" name="Pin">
  451.       <a name="tristate" val="false"/>
  452.       <a name="label" val="C"/>
  453.     </comp>
  454.     <comp lib="1" loc="(280,90)" name="NOT Gate"/>
  455.     <comp lib="0" loc="(40,100)" name="Pin">
  456.       <a name="tristate" val="false"/>
  457.       <a name="label" val="B"/>
  458.     </comp>
  459.     <comp lib="0" loc="(730,30)" name="Pin">
  460.       <a name="facing" val="west"/>
  461.       <a name="output" val="true"/>
  462.       <a name="label" val="Fd"/>
  463.       <a name="labelloc" val="east"/>
  464.     </comp>
  465.   </circuit>
  466.   <circuit name="Fe">
  467.     <a name="circuit" val="Fe"/>
  468.     <a name="clabel" val=""/>
  469.     <a name="clabelup" val="east"/>
  470.     <a name="clabelfont" val="SansSerif plain 12"/>
  471.     <wire from="(700,30)" to="(700,70)"/>
  472.     <wire from="(40,160)" to="(260,160)"/>
  473.     <wire from="(700,30)" to="(730,30)"/>
  474.     <wire from="(260,130)" to="(280,130)"/>
  475.     <wire from="(40,80)" to="(190,80)"/>
  476.     <wire from="(440,70)" to="(440,110)"/>
  477.     <wire from="(240,60)" to="(260,60)"/>
  478.     <wire from="(260,90)" to="(280,90)"/>
  479.     <wire from="(50,40)" to="(50,120)"/>
  480.     <wire from="(180,40)" to="(190,40)"/>
  481.     <wire from="(40,120)" to="(50,120)"/>
  482.     <wire from="(330,110)" to="(440,110)"/>
  483.     <wire from="(440,70)" to="(700,70)"/>
  484.     <wire from="(50,40)" to="(150,40)"/>
  485.     <wire from="(260,130)" to="(260,160)"/>
  486.     <wire from="(260,60)" to="(260,90)"/>
  487.     <comp lib="1" loc="(240,60)" name="AND Gate">
  488.       <a name="inputs" val="2"/>
  489.     </comp>
  490.     <comp lib="0" loc="(730,30)" name="Pin">
  491.       <a name="facing" val="west"/>
  492.       <a name="output" val="true"/>
  493.       <a name="label" val="Fe"/>
  494.       <a name="labelloc" val="east"/>
  495.     </comp>
  496.     <comp lib="0" loc="(40,80)" name="Pin">
  497.       <a name="tristate" val="false"/>
  498.       <a name="label" val="B"/>
  499.     </comp>
  500.     <comp lib="1" loc="(180,40)" name="NOT Gate"/>
  501.     <comp lib="0" loc="(40,120)" name="Pin">
  502.       <a name="tristate" val="false"/>
  503.       <a name="label" val="C"/>
  504.     </comp>
  505.     <comp lib="0" loc="(40,160)" name="Pin">
  506.       <a name="tristate" val="false"/>
  507.       <a name="label" val="D"/>
  508.     </comp>
  509.     <comp lib="1" loc="(330,110)" name="OR Gate">
  510.       <a name="inputs" val="2"/>
  511.     </comp>
  512.   </circuit>
  513.   <circuit name="Ff">
  514.     <a name="circuit" val="Ff"/>
  515.     <a name="clabel" val=""/>
  516.     <a name="clabelup" val="east"/>
  517.     <a name="clabelfont" val="SansSerif plain 12"/>
  518.     <wire from="(680,40)" to="(730,40)"/>
  519.     <wire from="(410,60)" to="(600,60)"/>
  520.     <wire from="(90,50)" to="(150,50)"/>
  521.     <wire from="(190,130)" to="(190,140)"/>
  522.     <wire from="(420,80)" to="(470,80)"/>
  523.     <wire from="(420,120)" to="(470,120)"/>
  524.     <wire from="(390,140)" to="(570,140)"/>
  525.     <wire from="(290,70)" to="(410,70)"/>
  526.     <wire from="(410,60)" to="(410,70)"/>
  527.     <wire from="(310,170)" to="(310,180)"/>
  528.     <wire from="(40,140)" to="(90,140)"/>
  529.     <wire from="(310,160)" to="(310,170)"/>
  530.     <wire from="(560,80)" to="(600,80)"/>
  531.     <wire from="(50,50)" to="(50,130)"/>
  532.     <wire from="(310,180)" to="(420,180)"/>
  533.     <wire from="(200,30)" to="(200,50)"/>
  534.     <wire from="(90,50)" to="(90,140)"/>
  535.     <wire from="(560,80)" to="(560,100)"/>
  536.     <wire from="(200,90)" to="(200,120)"/>
  537.     <wire from="(40,90)" to="(200,90)"/>
  538.     <wire from="(570,100)" to="(570,140)"/>
  539.     <wire from="(200,90)" to="(230,90)"/>
  540.     <wire from="(200,50)" to="(230,50)"/>
  541.     <wire from="(680,40)" to="(680,80)"/>
  542.     <wire from="(40,170)" to="(260,170)"/>
  543.     <wire from="(200,30)" to="(420,30)"/>
  544.     <wire from="(310,160)" to="(330,160)"/>
  545.     <wire from="(290,170)" to="(310,170)"/>
  546.     <wire from="(530,100)" to="(560,100)"/>
  547.     <wire from="(570,100)" to="(600,100)"/>
  548.     <wire from="(650,80)" to="(680,80)"/>
  549.     <wire from="(180,50)" to="(200,50)"/>
  550.     <wire from="(420,30)" to="(420,80)"/>
  551.     <wire from="(50,130)" to="(190,130)"/>
  552.     <wire from="(40,50)" to="(50,50)"/>
  553.     <wire from="(190,140)" to="(330,140)"/>
  554.     <wire from="(420,120)" to="(420,180)"/>
  555.     <wire from="(200,120)" to="(330,120)"/>
  556.     <comp lib="0" loc="(40,90)" name="Pin">
  557.       <a name="tristate" val="false"/>
  558.       <a name="label" val="B"/>
  559.     </comp>
  560.     <comp lib="0" loc="(730,40)" name="Pin">
  561.       <a name="facing" val="west"/>
  562.       <a name="output" val="true"/>
  563.       <a name="label" val="Ff"/>
  564.       <a name="labelloc" val="east"/>
  565.     </comp>
  566.     <comp lib="1" loc="(180,50)" name="NOT Gate"/>
  567.     <comp lib="1" loc="(530,100)" name="NOR Gate">
  568.       <a name="inputs" val="2"/>
  569.     </comp>
  570.     <comp lib="1" loc="(290,70)" name="NOR Gate">
  571.       <a name="inputs" val="2"/>
  572.     </comp>
  573.     <comp lib="0" loc="(40,170)" name="Pin">
  574.       <a name="tristate" val="false"/>
  575.       <a name="label" val="D"/>
  576.     </comp>
  577.     <comp lib="0" loc="(40,140)" name="Pin">
  578.       <a name="tristate" val="false"/>
  579.       <a name="label" val="C"/>
  580.     </comp>
  581.     <comp lib="1" loc="(290,170)" name="NOT Gate"/>
  582.     <comp lib="1" loc="(650,80)" name="OR Gate">
  583.       <a name="inputs" val="3"/>
  584.     </comp>
  585.     <comp lib="1" loc="(390,140)" name="NOR Gate">
  586.       <a name="inputs" val="3"/>
  587.     </comp>
  588.     <comp lib="0" loc="(40,50)" name="Pin">
  589.       <a name="tristate" val="false"/>
  590.       <a name="label" val="A"/>
  591.     </comp>
  592.   </circuit>
  593.   <circuit name="Fg">
  594.     <a name="circuit" val="Fg"/>
  595.     <a name="clabel" val=""/>
  596.     <a name="clabelup" val="east"/>
  597.     <a name="clabelfont" val="SansSerif plain 12"/>
  598.     <wire from="(610,30)" to="(730,30)"/>
  599.     <wire from="(40,80)" to="(230,80)"/>
  600.     <wire from="(430,80)" to="(490,80)"/>
  601.     <wire from="(40,50)" to="(100,50)"/>
  602.     <wire from="(100,50)" to="(100,120)"/>
  603.     <wire from="(230,40)" to="(230,50)"/>
  604.     <wire from="(230,70)" to="(230,80)"/>
  605.     <wire from="(330,150)" to="(380,150)"/>
  606.     <wire from="(450,120)" to="(450,130)"/>
  607.     <wire from="(430,70)" to="(430,80)"/>
  608.     <wire from="(50,40)" to="(230,40)"/>
  609.     <wire from="(240,90)" to="(290,90)"/>
  610.     <wire from="(330,150)" to="(330,160)"/>
  611.     <wire from="(610,30)" to="(610,100)"/>
  612.     <wire from="(50,40)" to="(50,120)"/>
  613.     <wire from="(270,110)" to="(380,110)"/>
  614.     <wire from="(230,50)" to="(270,50)"/>
  615.     <wire from="(250,70)" to="(290,70)"/>
  616.     <wire from="(240,90)" to="(240,120)"/>
  617.     <wire from="(40,160)" to="(330,160)"/>
  618.     <wire from="(450,120)" to="(490,120)"/>
  619.     <wire from="(270,50)" to="(290,50)"/>
  620.     <wire from="(430,130)" to="(450,130)"/>
  621.     <wire from="(230,70)" to="(250,70)"/>
  622.     <wire from="(540,100)" to="(610,100)"/>
  623.     <wire from="(100,120)" to="(240,120)"/>
  624.     <wire from="(350,70)" to="(430,70)"/>
  625.     <wire from="(40,120)" to="(50,120)"/>
  626.     <wire from="(270,50)" to="(270,110)"/>
  627.     <wire from="(250,130)" to="(380,130)"/>
  628.     <wire from="(250,70)" to="(250,130)"/>
  629.     <comp lib="0" loc="(40,160)" name="Pin">
  630.       <a name="tristate" val="false"/>
  631.       <a name="label" val="D"/>
  632.     </comp>
  633.     <comp lib="1" loc="(540,100)" name="OR Gate">
  634.       <a name="inputs" val="2"/>
  635.     </comp>
  636.     <comp lib="0" loc="(40,50)" name="Pin">
  637.       <a name="tristate" val="false"/>
  638.       <a name="label" val="A"/>
  639.     </comp>
  640.     <comp lib="0" loc="(40,80)" name="Pin">
  641.       <a name="tristate" val="false"/>
  642.       <a name="label" val="B"/>
  643.     </comp>
  644.     <comp lib="0" loc="(40,120)" name="Pin">
  645.       <a name="tristate" val="false"/>
  646.       <a name="label" val="C"/>
  647.     </comp>
  648.     <comp lib="1" loc="(430,130)" name="AND Gate">
  649.       <a name="inputs" val="3"/>
  650.     </comp>
  651.     <comp lib="0" loc="(730,30)" name="Pin">
  652.       <a name="facing" val="west"/>
  653.       <a name="output" val="true"/>
  654.       <a name="label" val="Fg"/>
  655.       <a name="labelloc" val="east"/>
  656.     </comp>
  657.     <comp lib="1" loc="(350,70)" name="NOR Gate">
  658.       <a name="inputs" val="3"/>
  659.     </comp>
  660.   </circuit>
  661. </project>
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement