Advertisement
Guest User

Untitled

a guest
Jul 29th, 2014
217
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.97 KB | None | 0 0
  1. assign hexnum = 8'b00000001;
  2.  
  3. hex_7seg #(4)(hexnum[3:0], HEX6);
  4. hex_7seg #(4)(hexnum[7:4], HEX7);
  5.  
  6. output reg [0:6] seg; // 7 segment display output
  7.  
  8. //7 segment representations
  9. parameter ZERO = 7'b000_0001;
  10. parameter ONE = 7'b100_1111;
  11. parameter TWO = 7'b001_0010;
  12. parameter THREE = 7'b000_0110;
  13. parameter FOUR = 7'b100_1100;
  14. parameter FIVE = 7'b010_0100;
  15. parameter SIX = 7'b010_0000;
  16. parameter SEVEN= 7'b000_1111;
  17. parameter EIGHT = 7'b000_0000;
  18. parameter NINE = 7'b000_1100;
  19. parameter A = 7'b000_1000;
  20. parameter B = 7'b110_0000;
  21. parameter C = 7'b011_0001;
  22. parameter D = 7'b100_0010;
  23. parameter E = 7'b011_0000;
  24. parameter F = 7'b011_1000;
  25.  
  26. always @(w)
  27.  
  28. case(w)
  29.  
  30. 0: seg = ZERO;
  31. 1: seg = ONE;
  32. 2: seg = TWO;
  33. 3: seg = THREE;
  34. 4: seg = FOUR;
  35. 5: seg = FIVE;
  36. 6: seg = SIX;
  37. 7: seg = SEVEN;
  38. 8: seg = EIGHT;
  39. 9: seg = NINE;
  40. 10: seg = A;
  41. 11: seg = B;
  42. 12: seg = C;
  43. 13: seg = D;
  44. 14: seg = E;
  45. 15: seg = F;
  46.  
  47. endcase
  48.  
  49.  
  50. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement