Advertisement
filip710

traffic_light_control_test.vhd

Nov 21st, 2018
119
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 1.54 KB | None | 0 0
  1. library IEEE;
  2. use IEEE.STD_LOGIC_1164.ALL;
  3.  
  4. entity LEDMAtrixCNTRL_test is
  5.  
  6. PORT(
  7.     --TO DO 1 -> deklarirati ulaze "rst", "wake", "send_data", "send_reg" i "clk_in" (STD_LOGIC)
  8.     rst, wake, send_data, send_reg, clk_in: in STD_LOGIC;
  9.     --TO DO 2 -> deklarirati ulaz "select_reg_value" (STD_LOGIC_VECTOR) veličine 4 bita
  10.     select_reg_value: in STD_LOGIC_VECTOR(0 to 3);
  11.     --TO DO 3 -> deklarirati izlaze "load" i "d_out" (STD_LOGIC)
  12.     load, d_out: out std_logic;
  13.     --TO DO 4 -> deklarirati buffer "clk_out" (STD_LOGIC)
  14.         clk_out: buffer std_logic;
  15. );
  16.  
  17. end LEDMAtrixCNTRL_test;
  18.  
  19. architecture Behavioral of LEDMAtrixCNTRL_test is
  20.  
  21.     --TO DO 5 -> deklarirati signal "data" (STD_LOGIC_VECTOR) veličine 64 bita (koristiti 0 to 63)
  22.     signal data: STD_LOGIC_VECTOR(0 to 63);
  23.     --TO DO 6 -> deklarirati signal "CNTRL_reg" (STD_LOGIC_VECTOR) veličine 16 bita (koristiti 15 downto 0)
  24.     signal CNTRL_reg: STD_LOGIC_VECTOR(15 downto 0);
  25.  
  26. begin
  27.  
  28.     --TO DO 7 -> pridružiti odgovarajuće vrijednosti signalu "data"
  29.    
  30.    
  31.    
  32.    
  33.    
  34.    
  35.    
  36.    
  37.  
  38.     --TO DO 8 - > u ovisnosti o vrijednosti ulaza "select_reg_value" pridružiti odgovarajuće vrijednosti signalu CNTRL_reg
  39.     with select_reg_value select
  40.  
  41.         CNTRL_reg <=    "" & "" & ""        when "", --DISPLAY TEST ON
  42.                         "" & "" & ""        when "", --DISPLAY TEST OFF
  43.                         "" & "" & "" & ""   when "", --SCAN LIMIT (4)
  44.                         "" & "" & "" & ""   when "", --SCAN LIMIT (8)
  45.                         "" & "" & "" & ""   when "", --INTENSITY MIN
  46.                         "" & "" & "" & ""   when ; --INTENSITY MAX
  47.    
  48.     --TO DO 9 -> instancirati LEDMAtrixCNTRL
  49.    
  50.  
  51. end Behavioral;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement