Advertisement
Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- library IEEE;
- use IEEE.STD_LOGIC_1164.ALL;
- entity LEDMAtrixCNTRL_test is
- PORT(
- --TO DO 1 -> deklarirati ulaze "rst", "wake", "send_data", "send_reg" i "clk_in" (STD_LOGIC)
- rst, wake, send_data, send_reg, clk_in: in STD_LOGIC;
- --TO DO 2 -> deklarirati ulaz "select_reg_value" (STD_LOGIC_VECTOR) veličine 4 bita
- select_reg_value: in STD_LOGIC_VECTOR(0 to 3);
- --TO DO 3 -> deklarirati izlaze "load" i "d_out" (STD_LOGIC)
- load, d_out: out std_logic;
- --TO DO 4 -> deklarirati buffer "clk_out" (STD_LOGIC)
- clk_out: buffer std_logic;
- );
- end LEDMAtrixCNTRL_test;
- architecture Behavioral of LEDMAtrixCNTRL_test is
- --TO DO 5 -> deklarirati signal "data" (STD_LOGIC_VECTOR) veličine 64 bita (koristiti 0 to 63)
- signal data: STD_LOGIC_VECTOR(0 to 63);
- --TO DO 6 -> deklarirati signal "CNTRL_reg" (STD_LOGIC_VECTOR) veličine 16 bita (koristiti 15 downto 0)
- signal CNTRL_reg: STD_LOGIC_VECTOR(15 downto 0);
- begin
- --TO DO 7 -> pridružiti odgovarajuće vrijednosti signalu "data"
- --TO DO 8 - > u ovisnosti o vrijednosti ulaza "select_reg_value" pridružiti odgovarajuće vrijednosti signalu CNTRL_reg
- with select_reg_value select
- CNTRL_reg <= "" & "" & "" when "", --DISPLAY TEST ON
- "" & "" & "" when "", --DISPLAY TEST OFF
- "" & "" & "" & "" when "", --SCAN LIMIT (4)
- "" & "" & "" & "" when "", --SCAN LIMIT (8)
- "" & "" & "" & "" when "", --INTENSITY MIN
- "" & "" & "" & "" when ; --INTENSITY MAX
- --TO DO 9 -> instancirati LEDMAtrixCNTRL
- end Behavioral;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement