Advertisement
Azathoth42

Untitled

Nov 25th, 2014
254
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 1.41 KB | None | 0 0
  1. architecture snake of VHDL3Homework is
  2.     signal snake            : std_logic_vector(15 downto 0);
  3.    
  4.     function isInitialized (snake : std_logic_vector(15 downto 0))
  5.         return boolean is
  6.    
  7.         variable initialized : boolean;
  8.         begin
  9.             if  (snake(0) = '1') or
  10.                 (snake(2) = '1') or
  11.                 (snake(4) = '1') or
  12.                 (snake(6) = '1') or
  13.                 (snake(8) = '1') or
  14.                 (snake(10) = '1') or
  15.                 (snake(12) = '1') or
  16.                 (snake(14) = '1')
  17.             then
  18.                 initialized := true;
  19.             else
  20.                 initialized := false;
  21.             end if;
  22.         return initialized;
  23.     end function isInitialized;
  24.    
  25.     begin
  26.  
  27.         moveSnake : process(CLOCK_27) is
  28.             variable rightDirection : boolean := false;
  29.        
  30.             begin
  31.                 if rising_edge(snakeClock) then
  32.    
  33.    
  34.                     if not isInitialized(snake) then    --sem jsem se v debuggeru dostal
  35.                         snake(0) <= '0';        --sem jsem se v debuggeru taky dostal
  36.                         snake(1) <= '0';        --sem taky, prošlo to každý řádek
  37.                         snake(2) <= '0';        --ale proměnná snake se vůbec nezměnila
  38.                         snake(3) <= '0';
  39.                         snake(4) <= '0';
  40.                         snake(5) <= '0';
  41.                         snake(6) <= '0';
  42.                         snake(7) <= '0';
  43.                         snake(8) <= '0';
  44.                         snake(9) <= '0';
  45.                         snake(10) <= '0';
  46.                         snake(11) <= '0';
  47.                         snake(12) <= '0';
  48.                         snake(13) <= '0';
  49.                         snake(14) <= '1';
  50.                         snake(15) <= '1';
  51.                        
  52.                         LEDG(0) <= '1';
  53.                         LEDG(1) <= '0';
  54.                     else
  55.                         LEDG(0) <= '0';
  56.                         LEDG(1) <= '1';
  57.                     end if;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement