Advertisement
Tyler_Elric

andgate

Sep 20th, 2017
141
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.26 KB | None | 0 0
  1. library IEEE;
  2. use IEEE.STD_LOGIC_1164.ALL;
  3.  
  4. entity andgate is
  5.     Port ( a : in  STD_LOGIC;
  6.            b : in  STD_LOGIC;
  7.            c : out  STD_LOGIC);
  8. end andgate;
  9.  
  10. architecture Behavioral of andgate is
  11.  
  12. begin
  13.     c <= a and b;
  14.  
  15. end Behavioral;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement