Advertisement
Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- library IEEE;
- use IEEE.STD_LOGIC_1164.ALL;
- use IEEE.STD_LOGIC_ARITH.ALL;
- use IEEE.STD_LOGIC_UNSIGNED.ALL;
- --moduł wyświetlacza
- entity wyswietlacz is
- port
- (
- --digit, która ma być wyświetlona
- digit : in integer range 0 to 10;
- --ports, ktĂłre sÄ… sterowae
- ports : out std_logic_vector(6 downto 0)
- );
- end wyswietlacz;
- architecture Behavioral of wyswietlacz is
- begin
- ports <=
- not "1111110" when digit = 0 else
- not "0110000" when digit = 1 else
- not "1101101" when digit = 2 else
- not "1111001" when digit = 3 else
- not "0110011" when digit = 4 else
- not "1011011" when digit = 5 else
- not "1011111" when digit = 6 else
- not "1110000" when digit = 7 else
- not "1111111" when digit = 8 else
- not "1111011" when digit = 9 else
- not "0000000" when digit = 10 else
- not "0000000";
- end Behavioral;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement