Advertisement
Guest User

wyswietlacz

a guest
Jun 23rd, 2019
164
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.85 KB | None | 0 0
  1. library IEEE;
  2. use IEEE.STD_LOGIC_1164.ALL;
  3. use IEEE.STD_LOGIC_ARITH.ALL;
  4. use IEEE.STD_LOGIC_UNSIGNED.ALL;
  5.  
  6.  
  7. --moduł wyświetlacza
  8. entity wyswietlacz is
  9. port
  10. (
  11.     --digit, ktĂłra ma być wyĹ›wietlona
  12.     digit   :   in      integer range 0 to 10;
  13.     --ports, ktĂłre sÄ… sterowae
  14.     ports   :   out std_logic_vector(6 downto 0)
  15. );
  16. end wyswietlacz;
  17.  
  18. architecture Behavioral of wyswietlacz is
  19. begin
  20.  
  21.     ports <=
  22.         not "1111110" when digit = 0 else
  23.         not "0110000" when digit = 1 else
  24.         not "1101101" when digit = 2 else
  25.         not "1111001" when digit = 3 else
  26.         not "0110011" when digit = 4 else
  27.         not "1011011" when digit = 5 else
  28.         not "1011111" when digit = 6 else
  29.         not "1110000" when digit = 7 else
  30.         not "1111111" when digit = 8 else
  31.         not "1111011" when digit = 9 else
  32.         not "0000000" when digit = 10 else
  33.         not "0000000";
  34.  
  35. end Behavioral;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement