Advertisement
Guest User

Untitled

a guest
Jul 19th, 2019
98
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.80 KB | None | 0 0
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3.  
  4. entity dummy is
  5.     generic (
  6.         LENGTH : natural);
  7.     port (
  8.         A : in std_logic_vector (LENGTH - 1 downto 0); -- #2a
  9.         --A : in std_logic_vector (1 - 1 downto 0); -- #2b
  10.         Q : out std_logic);
  11. end entity;
  12.  
  13. architecture rtl of dummy is
  14. begin
  15.     Q <= '0';
  16. end architecture;
  17.  
  18.  
  19. library ieee;
  20. use ieee.std_logic_1164.all;
  21.  
  22. entity modelsim_others_bug_testbench is
  23. end modelsim_others_bug_testbench;
  24.  
  25. architecture rtl of modelsim_others_bug_testbench is
  26.     signal x : std_logic;
  27. begin
  28.     x <= '0';
  29.  
  30.     dummy_i: entity work.dummy
  31.         generic map (
  32.             LENGTH => 1)
  33.         port map (
  34.             A => (others => x), -- #1a
  35.             --A => (others => '0'), -- #1b
  36.             Q => open);
  37. end architecture;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement