Advertisement
Guest User

Untitled

a guest
Jul 4th, 2017
74
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module villogo(
  2.         input clk,
  3.         input rst,
  4.         input SW0,
  5.         output reg LED
  6. );
  7.  
  8. reg [26:0] Q;
  9.  
  10. always @(posedge clk)
  11. begin
  12.     if(rst)
  13.     begin
  14.         LED <= 0;
  15.         Q <= 0;
  16.     end
  17.     else if((!SW0 && Q == 49999999) || (SW0 && Q == 99999999) // trükkös feltétel, de feleakkora a kód :)
  18.     begin
  19.         LED <= ~LED;
  20.         Q <= 0;
  21.     end
  22.     else    Q <= Q +1;
  23. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement