Advertisement
Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- LIBRARY ieee;
- use ieee.numeric_std.all;
- use IEEE.std_logic_1164.all;
- library work;
- use work.type_package.all;
- ENTITY multiplexer_pulser_duo_state IS
- generic (
- max_step_value : integer
- );
- PORT(
- current_state : in t_state;
- offset1_initialization : in integer range 0 to max_step_value;
- length1_initialization : in integer range 0 to max_step_value;
- offset2_initialization : in integer range 0 to max_step_value;
- length2_initialization : in integer range 0 to max_step_value;
- offset1_measurement : in integer range 0 to max_step_value;
- length1_measurement : in integer range 0 to max_step_value;
- offset2_measurement : in integer range 0 to max_step_value;
- length2_measurement : in integer range 0 to max_step_value;
- offset1 : out integer range 0 to max_step_value;
- len1 : out integer range 0 to max_step_value;
- offset2 : out integer range 0 to max_step_value;
- len2 : out integer range 0 to max_step_value
- );
- END ENTITY multiplexer_pulser_duo_state;
- ARCHITECTURE behavioural OF multiplexer_pulser_duo_state IS
- component multiplexer_pulser_solo_state
- generic (
- max_step_value : integer
- );
- PORT(
- current_state : in t_state;
- offset_initialization : in integer range 0 to max_step_value;
- length_initialization : in integer range 0 to max_step_value;
- offset_measurement : in integer range 0 to max_step_value;
- length_measurement : in integer range 0 to max_step_value;
- offset : out integer range 0 to max_step_value;
- len : out integer range 0 to max_step_value
- );
- end component;
- begin
- inst_multiplexer_pulser_solo_state1 : multiplexer_pulser_solo_state generic map (
- max_step_value => max_step_value)
- port map(
- current_state => current_state,
- offset_initialization => offset1_initialization,
- length_initialization => length1_initialization,
- offset_measurement => offset1_measurement,
- length_measurement => length1_measurement,
- offset => offset1,
- len => len1);
- inst_multiplexer_pulser_solo_state2 : multiplexer_pulser_solo_state generic map (
- max_step_value => max_step_value)
- port map(
- current_state => current_state,
- offset_initialization => offset2_initialization,
- length_initialization => length2_initialization,
- offset_measurement => offset2_measurement,
- length_measurement => length2_measurement,
- offset => offset2,
- len => len2);
- end architecture behavioural;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement