Advertisement
Guest User

multipelxer_pulser_duo_state

a guest
Sep 4th, 2020
50
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 2.91 KB | None | 0 0
  1. LIBRARY ieee;
  2. use ieee.numeric_std.all;
  3. use IEEE.std_logic_1164.all;
  4.  
  5. library work;
  6. use work.type_package.all;
  7.  
  8. ENTITY multiplexer_pulser_duo_state IS
  9.     generic (
  10.         max_step_value : integer
  11.         );
  12.     PORT(  
  13.             current_state           :   in  t_state;
  14.            
  15.             offset1_initialization  :   in integer range 0 to max_step_value;
  16.             length1_initialization  :   in integer range 0 to max_step_value;
  17.            
  18.             offset2_initialization  :   in integer range 0 to max_step_value;
  19.             length2_initialization  :   in integer range 0 to max_step_value;
  20.            
  21.             offset1_measurement     :   in integer range 0 to max_step_value;
  22.             length1_measurement     :   in integer range 0 to max_step_value;
  23.            
  24.             offset2_measurement     :   in integer range 0 to max_step_value;
  25.             length2_measurement     :   in integer range 0 to max_step_value;
  26.            
  27.             offset1                 :   out integer range 0 to max_step_value;
  28.             len1                    :   out integer range 0 to max_step_value;
  29.            
  30.             offset2                 :   out integer range 0 to max_step_value;
  31.             len2                    :   out integer range 0 to max_step_value
  32.         );
  33. END ENTITY multiplexer_pulser_duo_state;
  34.  
  35. ARCHITECTURE behavioural OF multiplexer_pulser_duo_state IS
  36.     component multiplexer_pulser_solo_state
  37.         generic (
  38.         max_step_value : integer
  39.         );
  40.         PORT(  
  41.             current_state           :   in  t_state;
  42.            
  43.             offset_initialization   :   in integer range 0 to max_step_value;
  44.             length_initialization   :   in integer range 0 to max_step_value;
  45.            
  46.             offset_measurement      :   in integer range 0 to max_step_value;
  47.             length_measurement      :   in integer range 0 to max_step_value;
  48.            
  49.             offset                  :   out integer range 0 to max_step_value;
  50.             len                     :   out integer range 0 to max_step_value
  51.         );
  52.     end component;
  53. begin
  54.  
  55.     inst_multiplexer_pulser_solo_state1 :   multiplexer_pulser_solo_state   generic map (
  56.                                                                                 max_step_value => max_step_value)
  57.                                                                             port map(
  58.                                                                             current_state => current_state,
  59.                                                                            
  60.                                                                             offset_initialization => offset1_initialization,
  61.                                                                             length_initialization => length1_initialization,
  62.                                                                            
  63.                                                                             offset_measurement => offset1_measurement,
  64.                                                                             length_measurement => length1_measurement,
  65.                                                                            
  66.                                                                             offset => offset1,
  67.                                                                             len => len1);
  68.    
  69.     inst_multiplexer_pulser_solo_state2 :   multiplexer_pulser_solo_state   generic map (
  70.                                                                                 max_step_value => max_step_value)
  71.                                                                             port map(
  72.                                                                             current_state => current_state,
  73.                                                                            
  74.                                                                             offset_initialization => offset2_initialization,
  75.                                                                             length_initialization => length2_initialization,
  76.                                                                            
  77.                                                                             offset_measurement => offset2_measurement,
  78.                                                                             length_measurement => length2_measurement,
  79.                                                                            
  80.                                                                             offset => offset2,
  81.                                                                             len => len2);
  82.  
  83.    
  84. end architecture behavioural;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement