Advertisement
PedroNY

Contador Completo

Aug 1st, 2019
745
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 1.83 KB | None | 0 0
  1. library IEEE;
  2. use IEEE.std_logic_1164.all;
  3. library smartfusion;
  4. use smartfusion.all;
  5.  
  6. entity Contador_Completo is --Contador implementado en la Board
  7. port (    
  8.     Up_Down, Clk_Manual, Clk_100Hz,Clk: IN  std_logic;
  9.     U,D,OvF : Out std_logic;
  10.     BCD_Out : OUT std_logic_vector(3 downto 0);
  11.     Q_Out :  OUT std_logic_vector(3 downto 0)    
  12. );
  13. end Contador_Completo;
  14.  
  15. architecture architecture_Contador_Completo of Contador_Completo is
  16.    
  17.     signal Clock, Clock_M , UD , U_D : std_logic;
  18.     signal Qaux : std_logic_vector(3 downto 0) ;
  19.    
  20.     component Contador is
  21.     Port ( UP_DW : in  STD_LOGIC;
  22.            CLK_in : in  STD_LOGIC;
  23.            Q : out std_logic_vector (3 downto 0)               
  24.              );
  25.     end component;
  26.  
  27.     component Conversor_BDC is
  28.     Port ( Q : in  STD_LOGIC_VECTOR (3 downto 0);
  29.            OverF : out std_logic;
  30.            BCD : out  STD_LOGIC_VECTOR (3 downto 0));
  31.     end component;
  32.  
  33.     component FF_T is
  34.     port (    
  35.         T : IN  std_logic;
  36.         Q : OUT std_logic);
  37.      end component;
  38.  
  39.     Component Antirrebote_100Hz is
  40.     Port ( S_in : in  STD_LOGIC;
  41.            S_out : out  STD_LOGIC;
  42.            Clk : in  STD_LOGIC);
  43.     end component;
  44.  
  45. begin
  46.        
  47.     Clock <= Clk or not(Clock_M);    
  48.     Q_Out <= not Qaux;      
  49.     U <= not U_D;
  50.     D <= U_D;    
  51.    
  52.     CONT : Contador Port map(
  53.     UP_DW => U_D,
  54.     CLK_in => CLock,
  55.     Q => Qaux);
  56.  
  57.     BCD : Conversor_BDC port map (
  58.     Q => Qaux,
  59.     OverF => OvF,
  60.     BCD => BCD_Out);
  61.  
  62.     AntRClk : Antirrebote_100Hz Port map (
  63.     S_in => Clk_Manual,
  64.     S_Out => Clock_M,
  65.     Clk => Clk_100Hz);
  66.  
  67.     AntRUD : Antirrebote_100Hz Port map (
  68.     S_in => Up_Down,
  69.     S_Out => UD,
  70.     Clk => Clk_100Hz);
  71.  
  72.     FFT : FF_T port map(
  73.     T => UD,
  74.     Q => U_D);
  75.    
  76.    
  77.  
  78. end architecture_Contador_Completo;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement