Advertisement
Guest User

Untitled

a guest
May 27th, 2017
58
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.30 KB | None | 0 0
  1. Q25227.vhd Source
  2. LIBRARY ieee;
  3. USE ieee.std_logic_1164.all;
  4.  
  5. ENTITY Q25227 IS
  6.     PORT(A, B   : IN STD_LOGIC;
  7.         Y       : OUT STD_LOGIC);
  8. END Q25227;
  9.  
  10. -- OR gate behaviour with conditional logic
  11. ARCHITECTURE csa OF Q25227 IS
  12. BEGIN
  13. Y <=    '1' WHEN A = '1' ELSE
  14.         '1' WHEN B = '1' ELSE
  15.         '0';
  16. END csa;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement