Advertisement
Guest User

Untitled

a guest
May 5th, 2012
121
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 45.09 KB | None | 0 0
  1. --------------------------------------------------------------------------------
  2. -- Copyright (c) 1995-2011 Xilinx, Inc.  All rights reserved.
  3. --------------------------------------------------------------------------------
  4. --   ____  ____
  5. --  /   /\/   /
  6. -- /___/  \  /    Vendor: Xilinx
  7. -- \   \   \/     Version : 13.3
  8. --  \   \         Application : sch2hdl
  9. --  /   /         Filename : DCF77.vhf
  10. -- /___/   /\     Timestamp : 05/06/2012 01:21:55
  11. -- \   \  /  \
  12. --  \___\/\___\
  13. --
  14. --Command: sch2hdl -intstyle ise -family spartan3e -flat -suppress -vhdl C:/Users/fabian/HSD/DCF77/DCF77.vhf -w C:/Users/fabian/HSD/DCF77/DCF77.sch
  15. --Design Name: DCF77
  16. --Device: spartan3e
  17. --Purpose:
  18. --    This vhdl netlist is translated from an ECS schematic. It can be
  19. --    synthesized and simulated, but it should not be modified.
  20. --
  21.  
  22. library ieee;
  23. use ieee.std_logic_1164.ALL;
  24. use ieee.numeric_std.ALL;
  25. library UNISIM;
  26. use UNISIM.Vcomponents.ALL;
  27.  
  28. entity Clockwork_MUSER_DCF77 is
  29.    port ( Clck          : in    std_logic;
  30.           in1Hz         : in    std_logic;
  31.           hundredsYear  : out   std_logic_vector (3 downto 0);
  32.           onesDay       : out   std_logic_vector (3 downto 0);
  33.           onesHour      : out   std_logic_vector (3 downto 0);
  34.           onesMinute    : out   std_logic_vector (3 downto 0);
  35.           onesMonth     : out   std_logic_vector (3 downto 0);
  36.           onesSecond    : out   std_logic_vector (3 downto 0);
  37.           onesYear      : out   std_logic_vector (3 downto 0);
  38.           tensDay       : out   std_logic_vector (3 downto 0);
  39.           tensHour      : out   std_logic_vector (3 downto 0);
  40.           tensMinute    : out   std_logic_vector (3 downto 0);
  41.           tensMonth     : out   std_logic_vector (3 downto 0);
  42.           tensSecond    : out   std_logic_vector (3 downto 0);
  43.           tensYear      : out   std_logic_vector (3 downto 0);
  44.           thousandsYear : out   std_logic_vector (3 downto 0));
  45. end Clockwork_MUSER_DCF77;
  46.  
  47. architecture BEHAVIORAL of Clockwork_MUSER_DCF77 is
  48.    attribute highestValue : string ;
  49.    attribute startValue   : string ;
  50.    attribute modValue     : string ;
  51.    attribute BOX_TYPE     : string ;
  52.    signal XLXN_536            : std_logic_vector (3 downto 0);
  53.    signal XLXN_537            : std_logic_vector (3 downto 0);
  54.    signal XLXN_542            : std_logic;
  55.    signal XLXN_543            : std_logic;
  56.    signal XLXN_544            : std_logic;
  57.    signal XLXN_545            : std_logic_vector (3 downto 0);
  58.    signal XLXN_546            : std_logic_vector (3 downto 0);
  59.    signal XLXN_549            : std_logic;
  60.    signal XLXN_550            : std_logic;
  61.    signal XLXN_551            : std_logic;
  62.    signal XLXN_556            : std_logic;
  63.    signal XLXN_582            : std_logic;
  64.    signal XLXN_590            : std_logic_vector (3 downto 0);
  65.    signal XLXN_591            : std_logic;
  66.    signal XLXN_592            : std_logic_vector (3 downto 0);
  67.    signal XLXN_593            : std_logic;
  68.    signal XLXN_594            : std_logic;
  69.    signal XLXN_596            : std_logic;
  70.    signal XLXN_597            : std_logic;
  71.    signal XLXN_600            : std_logic_vector (3 downto 0);
  72.    signal XLXN_601            : std_logic;
  73.    signal XLXN_602            : std_logic;
  74.    signal XLXN_603            : std_logic_vector (3 downto 0);
  75.    signal XLXN_604            : std_logic;
  76.    signal XLXN_636            : std_logic;
  77.    signal XLXN_637            : std_logic;
  78.    signal XLXN_640            : std_logic_vector (3 downto 0);
  79.    signal XLXN_641            : std_logic;
  80.    signal XLXN_642            : std_logic;
  81.    signal XLXN_643            : std_logic_vector (3 downto 0);
  82.    signal XLXN_644            : std_logic;
  83.    signal XLXN_734            : std_logic;
  84.    signal XLXN_735            : std_logic;
  85.    signal XLXN_738            : std_logic_vector (3 downto 0);
  86.    signal XLXN_739            : std_logic;
  87.    signal XLXN_740            : std_logic;
  88.    signal XLXN_741            : std_logic_vector (3 downto 0);
  89.    signal XLXN_742            : std_logic;
  90.    signal XLXN_743            : std_logic_vector (3 downto 0);
  91.    signal XLXN_744            : std_logic_vector (3 downto 0);
  92.    signal XLXN_747            : std_logic;
  93.    signal XLXN_748            : std_logic;
  94.    signal XLXN_749            : std_logic;
  95.    signal XLXN_781            : std_logic;
  96.    signal XLXN_809            : std_logic;
  97.    signal XLXN_810            : std_logic;
  98.    signal XLXN_811            : std_logic;
  99.    signal XLXN_812            : std_logic;
  100.    signal XLXN_813            : std_logic;
  101.    signal tensMonth_DUMMY     : std_logic_vector (3 downto 0);
  102.    signal onesDay_DUMMY       : std_logic_vector (3 downto 0);
  103.    signal tensDay_DUMMY       : std_logic_vector (3 downto 0);
  104.    signal onesMonth_DUMMY     : std_logic_vector (3 downto 0);
  105.    signal tensHour_DUMMY      : std_logic_vector (3 downto 0);
  106.    signal thousandsYear_DUMMY : std_logic_vector (3 downto 0);
  107.    signal hundredsYear_DUMMY  : std_logic_vector (3 downto 0);
  108.    signal onesHour_DUMMY      : std_logic_vector (3 downto 0);
  109.    component BCDresetter
  110.       port ( onesBCDin     : in    std_logic_vector (3 downto 0);
  111.              tensBCDin     : in    std_logic_vector (3 downto 0);
  112.              tensBCDout    : out   std_logic_vector (3 downto 0);
  113.              tensBCDenable : out   std_logic;
  114.              onesBCDout    : out   std_logic_vector (3 downto 0);
  115.              onesBCDenable : out   std_logic);
  116.    end component;
  117.    
  118.    component ModCounter
  119.       port ( clk      : in    std_logic;
  120.              reset    : in    std_logic;
  121.              EN       : in    std_logic;
  122.              setEN    : in    std_logic;
  123.              setValue : in    std_logic_vector (3 downto 0);
  124.              carry    : out   std_logic;
  125.              Q        : out   std_logic_vector (3 downto 0));
  126.    end component;
  127.    
  128.    component const4Bits
  129.       port ( BitVector : out   std_logic_vector (3 downto 0));
  130.    end component;
  131.    
  132.    component GND
  133.       port ( G : out   std_logic);
  134.    end component;
  135.    attribute BOX_TYPE of GND : component is "BLACK_BOX";
  136.    
  137.    attribute highestValue of DaysReset : label is "31";
  138.    attribute startValue of DaysReset : label is "1";
  139.    attribute highestValue of HoursReset : label is "23";
  140.    attribute modValue of hundredsYear : label is "1010"; --<--!!!
  141.    attribute highestValue of Monthsreset : label is "12";
  142.    attribute startValue of Monthsreset : label is "1";
  143.    attribute modValue of onesDay : label is "1010";
  144.    attribute modValue of onesHour : label is "1010";
  145.    attribute modValue of onesMinute : label is "1010";
  146.    attribute modValue of onesMonth : label is "1010";
  147.    attribute modValue of onesSecond : label is "1010";
  148.    attribute modValue of onesYear : label is "1010";
  149.    attribute modValue of tensDay : label is "0040";
  150.    attribute modValue of tensHour : label is "0110";
  151.    attribute modValue of tensMinute : label is "0110";
  152.    attribute modValue of tensMonth : label is "0010";
  153.    attribute modValue of tensSecond : label is "0110";
  154.    attribute modValue of tensYear : label is "1010";
  155.    attribute modValue of thousandsYear : label is "1010";
  156.    attribute highestValue of XLXI_494 : label is "12";
  157.    attribute startValue of XLXI_494 : label is "20";
  158. begin
  159.    hundredsYear(3 downto 0) <= hundredsYear_DUMMY(3 downto 0);
  160.    onesDay(3 downto 0) <= onesDay_DUMMY(3 downto 0);
  161.    onesHour(3 downto 0) <= onesHour_DUMMY(3 downto 0);
  162.    onesMonth(3 downto 0) <= onesMonth_DUMMY(3 downto 0);
  163.    tensDay(3 downto 0) <= tensDay_DUMMY(3 downto 0);
  164.    tensHour(3 downto 0) <= tensHour_DUMMY(3 downto 0);
  165.    tensMonth(3 downto 0) <= tensMonth_DUMMY(3 downto 0);
  166.    thousandsYear(3 downto 0) <= thousandsYear_DUMMY(3 downto 0);
  167.    DaysReset : BCDresetter
  168.       port map (onesBCDin(3 downto 0)=>onesDay_DUMMY(3 downto 0),
  169.                 tensBCDin(3 downto 0)=>tensDay_DUMMY(3 downto 0),
  170.                 onesBCDenable=>XLXN_604,
  171.                 onesBCDout(3 downto 0)=>XLXN_600(3 downto 0),
  172.                 tensBCDenable=>XLXN_602,
  173.                 tensBCDout(3 downto 0)=>XLXN_603(3 downto 0));
  174.    
  175.    HoursReset : BCDresetter
  176.       port map (onesBCDin(3 downto 0)=>onesHour_DUMMY(3 downto 0),
  177.                 tensBCDin(3 downto 0)=>tensHour_DUMMY(3 downto 0),
  178.                 onesBCDenable=>XLXN_594,
  179.                 onesBCDout(3 downto 0)=>XLXN_592(3 downto 0),
  180.                 tensBCDenable=>XLXN_591,
  181.                 tensBCDout(3 downto 0)=>XLXN_590(3 downto 0));
  182.    
  183.    hundredsYear : ModCounter
  184.       port map (clk=>Clck,
  185.                 EN=>XLXN_781,
  186.                 reset=>XLXN_739,
  187.                 setEN=>XLXN_742,
  188.                 setValue(3 downto 0)=>XLXN_738(3 downto 0),
  189.                 carry=>XLXN_735,
  190.                 Q(3 downto 0)=>hundredsYear_DUMMY(3 downto 0));
  191.    
  192.    Monthsreset : BCDresetter
  193.       port map (onesBCDin(3 downto 0)=>onesMonth_DUMMY(3 downto 0),
  194.                 tensBCDin(3 downto 0)=>tensMonth_DUMMY(3 downto 0),
  195.                 onesBCDenable=>XLXN_644,
  196.                 onesBCDout(3 downto 0)=>XLXN_640(3 downto 0),
  197.                 tensBCDenable=>XLXN_642,
  198.                 tensBCDout(3 downto 0)=>XLXN_643(3 downto 0));
  199.    
  200.    onesDay : ModCounter
  201.       port map (clk=>Clck,
  202.                 EN=>XLXN_811,
  203.                 reset=>XLXN_601,
  204.                 setEN=>XLXN_604,
  205.                 setValue(3 downto 0)=>XLXN_600(3 downto 0),
  206.                 carry=>XLXN_597,
  207.                 Q(3 downto 0)=>onesDay_DUMMY(3 downto 0));
  208.    
  209.    onesHour : ModCounter
  210.       port map (clk=>Clck,
  211.                 EN=>XLXN_810,
  212.                 reset=>XLXN_593,
  213.                 setEN=>XLXN_594,
  214.                 setValue(3 downto 0)=>XLXN_592(3 downto 0),
  215.                 carry=>XLXN_556,
  216.                 Q(3 downto 0)=>onesHour_DUMMY(3 downto 0));
  217.    
  218.    onesMinute : ModCounter
  219.       port map (clk=>Clck,
  220.                 EN=>XLXN_809,
  221.                 reset=>XLXN_550,
  222.                 setEN=>XLXN_550,
  223.                 setValue(3 downto 0)=>XLXN_546(3 downto 0),
  224.                 carry=>XLXN_549,
  225.                 Q(3 downto 0)=>onesMinute(3 downto 0));
  226.    
  227.    onesMonth : ModCounter
  228.       port map (clk=>Clck,
  229.                 EN=>XLXN_812,
  230.                 reset=>XLXN_641,
  231.                 setEN=>XLXN_644,
  232.                 setValue(3 downto 0)=>XLXN_640(3 downto 0),
  233.                 carry=>XLXN_637,
  234.                 Q(3 downto 0)=>onesMonth_DUMMY(3 downto 0));
  235.    
  236.    onesSecond : ModCounter
  237.       port map (clk=>Clck,
  238.                 EN=>in1Hz,
  239.                 reset=>XLXN_543,
  240.                 setEN=>XLXN_543,
  241.                 setValue(3 downto 0)=>XLXN_537(3 downto 0),
  242.                 carry=>XLXN_542,
  243.                 Q(3 downto 0)=>onesSecond(3 downto 0));
  244.    
  245.    onesYear : ModCounter
  246.       port map (clk=>Clck,
  247.                 EN=>XLXN_813,
  248.                 reset=>XLXN_748,
  249.                 setEN=>XLXN_748,
  250.                 setValue(3 downto 0)=>XLXN_744(3 downto 0),
  251.                 carry=>XLXN_747,
  252.                 Q(3 downto 0)=>onesYear(3 downto 0));
  253.    
  254.    tensDay : ModCounter
  255.       port map (clk=>Clck,
  256.                 EN=>XLXN_597,
  257.                 reset=>XLXN_596,
  258.                 setEN=>XLXN_602,
  259.                 setValue(3 downto 0)=>XLXN_603(3 downto 0),
  260.                 carry=>XLXN_812,
  261.                 Q(3 downto 0)=>tensDay_DUMMY(3 downto 0));
  262.    
  263.    tensHour : ModCounter
  264.       port map (clk=>Clck,
  265.                 EN=>XLXN_556,
  266.                 reset=>XLXN_582,
  267.                 setEN=>XLXN_591,
  268.                 setValue(3 downto 0)=>XLXN_590(3 downto 0),
  269.                 carry=>XLXN_811,
  270.                 Q(3 downto 0)=>tensHour_DUMMY(3 downto 0));
  271.    
  272.    tensMinute : ModCounter
  273.       port map (clk=>Clck,
  274.                 EN=>XLXN_549,
  275.                 reset=>XLXN_551,
  276.                 setEN=>XLXN_551,
  277.                 setValue(3 downto 0)=>XLXN_545(3 downto 0),
  278.                 carry=>XLXN_810,
  279.                 Q(3 downto 0)=>tensMinute(3 downto 0));
  280.    
  281.    tensMonth : ModCounter
  282.       port map (clk=>Clck,
  283.                 EN=>XLXN_637,
  284.                 reset=>XLXN_636,
  285.                 setEN=>XLXN_642,
  286.                 setValue(3 downto 0)=>XLXN_643(3 downto 0),
  287.                 carry=>XLXN_813,
  288.                 Q(3 downto 0)=>tensMonth_DUMMY(3 downto 0));
  289.    
  290.    tensSecond : ModCounter
  291.       port map (clk=>Clck,
  292.                 EN=>XLXN_542,
  293.                 reset=>XLXN_544,
  294.                 setEN=>XLXN_544,
  295.                 setValue(3 downto 0)=>XLXN_536(3 downto 0),
  296.                 carry=>XLXN_809,
  297.                 Q(3 downto 0)=>tensSecond(3 downto 0));
  298.    
  299.    tensYear : ModCounter
  300.       port map (clk=>Clck,
  301.                 EN=>XLXN_747,
  302.                 reset=>XLXN_749,
  303.                 setEN=>XLXN_749,
  304.                 setValue(3 downto 0)=>XLXN_743(3 downto 0),
  305.                 carry=>XLXN_781,
  306.                 Q(3 downto 0)=>tensYear(3 downto 0));
  307.    
  308.    thousandsYear : ModCounter
  309.       port map (clk=>Clck,
  310.                 EN=>XLXN_735,
  311.                 reset=>XLXN_734,
  312.                 setEN=>XLXN_740,
  313.                 setValue(3 downto 0)=>XLXN_741(3 downto 0),
  314.                 carry=>open,
  315.                 Q(3 downto 0)=>thousandsYear_DUMMY(3 downto 0));
  316.    
  317.    XLXI_394 : const4Bits
  318.       port map (BitVector(3 downto 0)=>XLXN_536(3 downto 0));
  319.    
  320.    XLXI_395 : const4Bits
  321.       port map (BitVector(3 downto 0)=>XLXN_537(3 downto 0));
  322.    
  323.    XLXI_396 : GND
  324.       port map (G=>XLXN_543);
  325.    
  326.    XLXI_397 : GND
  327.       port map (G=>XLXN_544);
  328.    
  329.    XLXI_400 : const4Bits
  330.       port map (BitVector(3 downto 0)=>XLXN_545(3 downto 0));
  331.    
  332.    XLXI_401 : const4Bits
  333.       port map (BitVector(3 downto 0)=>XLXN_546(3 downto 0));
  334.    
  335.    XLXI_402 : GND
  336.       port map (G=>XLXN_550);
  337.    
  338.    XLXI_403 : GND
  339.       port map (G=>XLXN_551);
  340.    
  341.    XLXI_408 : GND
  342.       port map (G=>XLXN_593);
  343.    
  344.    XLXI_409 : GND
  345.       port map (G=>XLXN_582);
  346.    
  347.    XLXI_413 : GND
  348.       port map (G=>XLXN_596);
  349.    
  350.    XLXI_414 : GND
  351.       port map (G=>XLXN_601);
  352.    
  353.    XLXI_433 : GND
  354.       port map (G=>XLXN_636);
  355.    
  356.    XLXI_434 : GND
  357.       port map (G=>XLXN_641);
  358.    
  359.    XLXI_492 : GND
  360.       port map (G=>XLXN_734);
  361.    
  362.    XLXI_493 : GND
  363.       port map (G=>XLXN_739);
  364.    
  365.    XLXI_494 : BCDresetter
  366.       port map (onesBCDin(3 downto 0)=>hundredsYear_DUMMY(3 downto 0),
  367.                 tensBCDin(3 downto 0)=>thousandsYear_DUMMY(3 downto 0),
  368.                 onesBCDenable=>XLXN_742,
  369.                 onesBCDout(3 downto 0)=>XLXN_738(3 downto 0),
  370.                 tensBCDenable=>XLXN_740,
  371.                 tensBCDout(3 downto 0)=>XLXN_741(3 downto 0));
  372.    
  373.    XLXI_497 : const4Bits
  374.       port map (BitVector(3 downto 0)=>XLXN_743(3 downto 0));
  375.    
  376.    XLXI_498 : const4Bits
  377.       port map (BitVector(3 downto 0)=>XLXN_744(3 downto 0));
  378.    
  379.    XLXI_499 : GND
  380.       port map (G=>XLXN_748);
  381.    
  382.    XLXI_500 : GND
  383.       port map (G=>XLXN_749);
  384.    
  385. end BEHAVIORAL;
  386.  
  387.  
  388.  
  389. library ieee;
  390. use ieee.std_logic_1164.ALL;
  391. use ieee.numeric_std.ALL;
  392. library UNISIM;
  393. use UNISIM.Vcomponents.ALL;
  394.  
  395. entity DCF77 is
  396.    port ( BTN3  : in    std_logic;
  397.           Clock : in    std_logic;
  398.           SW0   : in    std_logic;
  399.           AN    : out   std_logic_vector (3 downto 0);
  400.           LEDs  : out   std_logic_vector (7 downto 0));
  401. end DCF77;
  402.  
  403. architecture BEHAVIORAL of DCF77 is
  404.    signal XLXN_163                     : std_logic_vector (3 downto 0);
  405.    signal XLXN_164                     : std_logic_vector (3 downto 0);
  406.    signal XLXN_165                     : std_logic_vector (3 downto 0);
  407.    signal XLXN_166                     : std_logic_vector (3 downto 0);
  408.    signal XLXN_167                     : std_logic_vector (3 downto 0);
  409.    signal XLXN_168                     : std_logic_vector (3 downto 0);
  410.    signal XLXN_169                     : std_logic_vector (3 downto 0);
  411.    signal XLXN_170                     : std_logic_vector (3 downto 0);
  412.    signal XLXN_171                     : std_logic_vector (3 downto 0);
  413.    signal XLXN_172                     : std_logic_vector (3 downto 0);
  414.    signal XLXN_173                     : std_logic_vector (3 downto 0);
  415.    signal XLXN_174                     : std_logic_vector (3 downto 0);
  416.    signal XLXN_177                     : std_logic_vector (3 downto 0);
  417.    signal XLXN_178                     : std_logic_vector (3 downto 0);
  418.    signal XLXN_187                     : std_logic_vector (3 downto 0);
  419.    signal XLXN_188                     : std_logic_vector (3 downto 0);
  420.    signal XLXN_189                     : std_logic_vector (3 downto 0);
  421.    signal XLXN_190                     : std_logic_vector (3 downto 0);
  422.    signal XLXN_193                     : std_logic;
  423.    signal XLXI_111_Blinking_openSignal : std_logic_vector (3 downto 0);
  424.    component bcddecoder
  425.       port ( Clk         : in    std_logic;
  426.              Digit0      : in    std_logic_vector (3 downto 0);
  427.              Digit1      : in    std_logic_vector (3 downto 0);
  428.              Digit2      : in    std_logic_vector (3 downto 0);
  429.              Digit3      : in    std_logic_vector (3 downto 0);
  430.              DigitSelect : out   std_logic_vector (3 downto 0);
  431.              Segments    : out   std_logic_vector (7 downto 0));
  432.    end component;
  433.    
  434.    component Clockwork_MUSER_DCF77
  435.       port ( in1Hz         : in    std_logic;
  436.              tensSecond    : out   std_logic_vector (3 downto 0);
  437.              onesSecond    : out   std_logic_vector (3 downto 0);
  438.              tensMinute    : out   std_logic_vector (3 downto 0);
  439.              onesMinute    : out   std_logic_vector (3 downto 0);
  440.              onesDay       : out   std_logic_vector (3 downto 0);
  441.              tensDay       : out   std_logic_vector (3 downto 0);
  442.              onesHour      : out   std_logic_vector (3 downto 0);
  443.              tensHour      : out   std_logic_vector (3 downto 0);
  444.              onesMonth     : out   std_logic_vector (3 downto 0);
  445.              tensMonth     : out   std_logic_vector (3 downto 0);
  446.              onesYear      : out   std_logic_vector (3 downto 0);
  447.              tensYear      : out   std_logic_vector (3 downto 0);
  448.              hundredsYear  : out   std_logic_vector (3 downto 0);
  449.              thousandsYear : out   std_logic_vector (3 downto 0);
  450.              Clck          : in    std_logic);
  451.    end component;
  452.    
  453.    component divClock1Hz
  454.       port ( Clock  : in    std_logic;
  455.              out1Hz : out   std_logic);
  456.    end component;
  457.    
  458.    component ValueMuxer
  459.       port ( Date_Time     : in    std_logic;
  460.              Second_Year   : in    std_logic;
  461.              onesSecond    : in    std_logic_vector (3 downto 0);
  462.              tensSecond    : in    std_logic_vector (3 downto 0);
  463.              onesMinute    : in    std_logic_vector (3 downto 0);
  464.              tensMinute    : in    std_logic_vector (3 downto 0);
  465.              onesHour      : in    std_logic_vector (3 downto 0);
  466.              tensHour      : in    std_logic_vector (3 downto 0);
  467.              onesDay       : in    std_logic_vector (3 downto 0);
  468.              tensDay       : in    std_logic_vector (3 downto 0);
  469.              onesMonth     : in    std_logic_vector (3 downto 0);
  470.              tensMonth     : in    std_logic_vector (3 downto 0);
  471.              tensYear      : in    std_logic_vector (3 downto 0);
  472.              hundredsYear  : in    std_logic_vector (3 downto 0);
  473.              thousandsYear : in    std_logic_vector (3 downto 0);
  474.              Blinking      : in    std_logic_vector (3 downto 0);
  475.              Digit0        : out   std_logic_vector (3 downto 0);
  476.              Digit1        : out   std_logic_vector (3 downto 0);
  477.              Digit2        : out   std_logic_vector (3 downto 0);
  478.              Digit3        : out   std_logic_vector (3 downto 0);
  479.              Dots          : out   std_logic_vector (3 downto 0);
  480.              onesYear      : in    std_logic_vector (3 downto 0));
  481.    end component;
  482.    
  483. begin
  484.    XLXI_5 : bcddecoder
  485.       port map (Clk=>Clock,
  486.                 Digit0(3 downto 0)=>XLXN_163(3 downto 0),
  487.                 Digit1(3 downto 0)=>XLXN_164(3 downto 0),
  488.                 Digit2(3 downto 0)=>XLXN_165(3 downto 0),
  489.                 Digit3(3 downto 0)=>XLXN_166(3 downto 0),
  490.                 DigitSelect(3 downto 0)=>AN(3 downto 0),
  491.                 Segments(7 downto 0)=>LEDs(7 downto 0));
  492.    
  493.    XLXI_103 : Clockwork_MUSER_DCF77
  494.       port map (Clck=>XLXN_193,
  495.                 in1Hz=>Clock,
  496.                 hundredsYear(3 downto 0)=>XLXN_189(3 downto 0),
  497.                 onesDay(3 downto 0)=>XLXN_173(3 downto 0),
  498.                 onesHour(3 downto 0)=>XLXN_171(3 downto 0),
  499.                 onesMinute(3 downto 0)=>XLXN_169(3 downto 0),
  500.                 onesMonth(3 downto 0)=>XLXN_177(3 downto 0),
  501.                 onesSecond(3 downto 0)=>XLXN_167(3 downto 0),
  502.                 onesYear(3 downto 0)=>XLXN_187(3 downto 0),
  503.                 tensDay(3 downto 0)=>XLXN_174(3 downto 0),
  504.                 tensHour(3 downto 0)=>XLXN_172(3 downto 0),
  505.                 tensMinute(3 downto 0)=>XLXN_170(3 downto 0),
  506.                 tensMonth(3 downto 0)=>XLXN_178(3 downto 0),
  507.                 tensSecond(3 downto 0)=>XLXN_168(3 downto 0),
  508.                 tensYear(3 downto 0)=>XLXN_188(3 downto 0),
  509.                 thousandsYear(3 downto 0)=>XLXN_190(3 downto 0));
  510.    
  511.    XLXI_104 : divClock1Hz
  512.       port map (Clock=>Clock,
  513.                 out1Hz=>XLXN_193);
  514.    
  515.    XLXI_111 : ValueMuxer
  516.       port map (Blinking(3 downto 0)=>XLXI_111_Blinking_openSignal(3 downto 0),
  517.                 Date_Time=>SW0,
  518.                 hundredsYear(3 downto 0)=>XLXN_189(3 downto 0),
  519.                 onesDay(3 downto 0)=>XLXN_173(3 downto 0),
  520.                 onesHour(3 downto 0)=>XLXN_171(3 downto 0),
  521.                 onesMinute(3 downto 0)=>XLXN_169(3 downto 0),
  522.                 onesMonth(3 downto 0)=>XLXN_177(3 downto 0),
  523.                 onesSecond(3 downto 0)=>XLXN_167(3 downto 0),
  524.                 onesYear(3 downto 0)=>XLXN_187(3 downto 0),
  525.                 Second_Year=>BTN3,
  526.                 tensDay(3 downto 0)=>XLXN_174(3 downto 0),
  527.                 tensHour(3 downto 0)=>XLXN_172(3 downto 0),
  528.                 tensMinute(3 downto 0)=>XLXN_170(3 downto 0),
  529.                 tensMonth(3 downto 0)=>XLXN_178(3 downto 0),
  530.                 tensSecond(3 downto 0)=>XLXN_168(3 downto 0),
  531.                 tensYear(3 downto 0)=>XLXN_188(3 downto 0),
  532.                 thousandsYear(3 downto 0)=>XLXN_190(3 downto 0),
  533.                 Digit0(3 downto 0)=>XLXN_163(3 downto 0),
  534.                 Digit1(3 downto 0)=>XLXN_164(3 downto 0),
  535.                 Digit2(3 downto 0)=>XLXN_165(3 downto 0),
  536.                 Digit3(3 downto 0)=>XLXN_166(3 downto 0),
  537.                 Dots=>open);
  538.    
  539. end BEHAVIORAL;--------------------------------------------------------------------------------
  540. -- Copyright (c) 1995-2011 Xilinx, Inc.  All rights reserved.
  541. --------------------------------------------------------------------------------
  542. --   ____  ____
  543. --  /   /\/   /
  544. -- /___/  \  /    Vendor: Xilinx
  545. -- \   \   \/     Version : 13.3
  546. --  \   \         Application : sch2hdl
  547. --  /   /         Filename : DCF77.vhf
  548. -- /___/   /\     Timestamp : 05/06/2012 01:21:55
  549. -- \   \  /  \
  550. --  \___\/\___\
  551. --
  552. --Command: sch2hdl -intstyle ise -family spartan3e -flat -suppress -vhdl C:/Users/fabian/HSD/DCF77/DCF77.vhf -w C:/Users/fabian/HSD/DCF77/DCF77.sch
  553. --Design Name: DCF77
  554. --Device: spartan3e
  555. --Purpose:
  556. --    This vhdl netlist is translated from an ECS schematic. It can be
  557. --    synthesized and simulated, but it should not be modified.
  558. --
  559.  
  560. library ieee;
  561. use ieee.std_logic_1164.ALL;
  562. use ieee.numeric_std.ALL;
  563. library UNISIM;
  564. use UNISIM.Vcomponents.ALL;
  565.  
  566. entity Clockwork_MUSER_DCF77 is
  567.    port ( Clck          : in    std_logic;
  568.           in1Hz         : in    std_logic;
  569.           hundredsYear  : out   std_logic_vector (3 downto 0);
  570.           onesDay       : out   std_logic_vector (3 downto 0);
  571.           onesHour      : out   std_logic_vector (3 downto 0);
  572.           onesMinute    : out   std_logic_vector (3 downto 0);
  573.           onesMonth     : out   std_logic_vector (3 downto 0);
  574.           onesSecond    : out   std_logic_vector (3 downto 0);
  575.           onesYear      : out   std_logic_vector (3 downto 0);
  576.           tensDay       : out   std_logic_vector (3 downto 0);
  577.           tensHour      : out   std_logic_vector (3 downto 0);
  578.           tensMinute    : out   std_logic_vector (3 downto 0);
  579.           tensMonth     : out   std_logic_vector (3 downto 0);
  580.           tensSecond    : out   std_logic_vector (3 downto 0);
  581.           tensYear      : out   std_logic_vector (3 downto 0);
  582.           thousandsYear : out   std_logic_vector (3 downto 0));
  583. end Clockwork_MUSER_DCF77;
  584.  
  585. architecture BEHAVIORAL of Clockwork_MUSER_DCF77 is
  586.    attribute highestValue : string ;
  587.    attribute startValue   : string ;
  588.    attribute modValue     : string ;
  589.    attribute BOX_TYPE     : string ;
  590.    signal XLXN_536            : std_logic_vector (3 downto 0);
  591.    signal XLXN_537            : std_logic_vector (3 downto 0);
  592.    signal XLXN_542            : std_logic;
  593.    signal XLXN_543            : std_logic;
  594.    signal XLXN_544            : std_logic;
  595.    signal XLXN_545            : std_logic_vector (3 downto 0);
  596.    signal XLXN_546            : std_logic_vector (3 downto 0);
  597.    signal XLXN_549            : std_logic;
  598.    signal XLXN_550            : std_logic;
  599.    signal XLXN_551            : std_logic;
  600.    signal XLXN_556            : std_logic;
  601.    signal XLXN_582            : std_logic;
  602.    signal XLXN_590            : std_logic_vector (3 downto 0);
  603.    signal XLXN_591            : std_logic;
  604.    signal XLXN_592            : std_logic_vector (3 downto 0);
  605.    signal XLXN_593            : std_logic;
  606.    signal XLXN_594            : std_logic;
  607.    signal XLXN_596            : std_logic;
  608.    signal XLXN_597            : std_logic;
  609.    signal XLXN_600            : std_logic_vector (3 downto 0);
  610.    signal XLXN_601            : std_logic;
  611.    signal XLXN_602            : std_logic;
  612.    signal XLXN_603            : std_logic_vector (3 downto 0);
  613.    signal XLXN_604            : std_logic;
  614.    signal XLXN_636            : std_logic;
  615.    signal XLXN_637            : std_logic;
  616.    signal XLXN_640            : std_logic_vector (3 downto 0);
  617.    signal XLXN_641            : std_logic;
  618.    signal XLXN_642            : std_logic;
  619.    signal XLXN_643            : std_logic_vector (3 downto 0);
  620.    signal XLXN_644            : std_logic;
  621.    signal XLXN_734            : std_logic;
  622.    signal XLXN_735            : std_logic;
  623.    signal XLXN_738            : std_logic_vector (3 downto 0);
  624.    signal XLXN_739            : std_logic;
  625.    signal XLXN_740            : std_logic;
  626.    signal XLXN_741            : std_logic_vector (3 downto 0);
  627.    signal XLXN_742            : std_logic;
  628.    signal XLXN_743            : std_logic_vector (3 downto 0);
  629.    signal XLXN_744            : std_logic_vector (3 downto 0);
  630.    signal XLXN_747            : std_logic;
  631.    signal XLXN_748            : std_logic;
  632.    signal XLXN_749            : std_logic;
  633.    signal XLXN_781            : std_logic;
  634.    signal XLXN_809            : std_logic;
  635.    signal XLXN_810            : std_logic;
  636.    signal XLXN_811            : std_logic;
  637.    signal XLXN_812            : std_logic;
  638.    signal XLXN_813            : std_logic;
  639.    signal tensMonth_DUMMY     : std_logic_vector (3 downto 0);
  640.    signal onesDay_DUMMY       : std_logic_vector (3 downto 0);
  641.    signal tensDay_DUMMY       : std_logic_vector (3 downto 0);
  642.    signal onesMonth_DUMMY     : std_logic_vector (3 downto 0);
  643.    signal tensHour_DUMMY      : std_logic_vector (3 downto 0);
  644.    signal thousandsYear_DUMMY : std_logic_vector (3 downto 0);
  645.    signal hundredsYear_DUMMY  : std_logic_vector (3 downto 0);
  646.    signal onesHour_DUMMY      : std_logic_vector (3 downto 0);
  647.    component BCDresetter
  648.       port ( onesBCDin     : in    std_logic_vector (3 downto 0);
  649.              tensBCDin     : in    std_logic_vector (3 downto 0);
  650.              tensBCDout    : out   std_logic_vector (3 downto 0);
  651.              tensBCDenable : out   std_logic;
  652.              onesBCDout    : out   std_logic_vector (3 downto 0);
  653.              onesBCDenable : out   std_logic);
  654.    end component;
  655.    
  656.    component ModCounter
  657.       port ( clk      : in    std_logic;
  658.              reset    : in    std_logic;
  659.              EN       : in    std_logic;
  660.              setEN    : in    std_logic;
  661.              setValue : in    std_logic_vector (3 downto 0);
  662.              carry    : out   std_logic;
  663.              Q        : out   std_logic_vector (3 downto 0));
  664.    end component;
  665.    
  666.    component const4Bits
  667.       port ( BitVector : out   std_logic_vector (3 downto 0));
  668.    end component;
  669.    
  670.    component GND
  671.       port ( G : out   std_logic);
  672.    end component;
  673.    attribute BOX_TYPE of GND : component is "BLACK_BOX";
  674.    
  675.    attribute highestValue of DaysReset : label is "31";
  676.    attribute startValue of DaysReset : label is "1";
  677.    attribute highestValue of HoursReset : label is "23";
  678.    attribute modValue of hundredsYear : label is "1010"; --<--!!!
  679.    attribute highestValue of Monthsreset : label is "12";
  680.    attribute startValue of Monthsreset : label is "1";
  681.    attribute modValue of onesDay : label is "1010";
  682.    attribute modValue of onesHour : label is "1010";
  683.    attribute modValue of onesMinute : label is "1010";
  684.    attribute modValue of onesMonth : label is "1010";
  685.    attribute modValue of onesSecond : label is "1010";
  686.    attribute modValue of onesYear : label is "1010";
  687.    attribute modValue of tensDay : label is "0040";
  688.    attribute modValue of tensHour : label is "0110";
  689.    attribute modValue of tensMinute : label is "0110";
  690.    attribute modValue of tensMonth : label is "0010";
  691.    attribute modValue of tensSecond : label is "0110";
  692.    attribute modValue of tensYear : label is "1010";
  693.    attribute modValue of thousandsYear : label is "1010";
  694.    attribute highestValue of XLXI_494 : label is "12";
  695.    attribute startValue of XLXI_494 : label is "20";
  696. begin
  697.    hundredsYear(3 downto 0) <= hundredsYear_DUMMY(3 downto 0);
  698.    onesDay(3 downto 0) <= onesDay_DUMMY(3 downto 0);
  699.    onesHour(3 downto 0) <= onesHour_DUMMY(3 downto 0);
  700.    onesMonth(3 downto 0) <= onesMonth_DUMMY(3 downto 0);
  701.    tensDay(3 downto 0) <= tensDay_DUMMY(3 downto 0);
  702.    tensHour(3 downto 0) <= tensHour_DUMMY(3 downto 0);
  703.    tensMonth(3 downto 0) <= tensMonth_DUMMY(3 downto 0);
  704.    thousandsYear(3 downto 0) <= thousandsYear_DUMMY(3 downto 0);
  705.    DaysReset : BCDresetter
  706.       port map (onesBCDin(3 downto 0)=>onesDay_DUMMY(3 downto 0),
  707.                 tensBCDin(3 downto 0)=>tensDay_DUMMY(3 downto 0),
  708.                 onesBCDenable=>XLXN_604,
  709.                 onesBCDout(3 downto 0)=>XLXN_600(3 downto 0),
  710.                 tensBCDenable=>XLXN_602,
  711.                 tensBCDout(3 downto 0)=>XLXN_603(3 downto 0));
  712.    
  713.    HoursReset : BCDresetter
  714.       port map (onesBCDin(3 downto 0)=>onesHour_DUMMY(3 downto 0),
  715.                 tensBCDin(3 downto 0)=>tensHour_DUMMY(3 downto 0),
  716.                 onesBCDenable=>XLXN_594,
  717.                 onesBCDout(3 downto 0)=>XLXN_592(3 downto 0),
  718.                 tensBCDenable=>XLXN_591,
  719.                 tensBCDout(3 downto 0)=>XLXN_590(3 downto 0));
  720.    
  721.    hundredsYear : ModCounter
  722.       port map (clk=>Clck,
  723.                 EN=>XLXN_781,
  724.                 reset=>XLXN_739,
  725.                 setEN=>XLXN_742,
  726.                 setValue(3 downto 0)=>XLXN_738(3 downto 0),
  727.                 carry=>XLXN_735,
  728.                 Q(3 downto 0)=>hundredsYear_DUMMY(3 downto 0));
  729.    
  730.    Monthsreset : BCDresetter
  731.       port map (onesBCDin(3 downto 0)=>onesMonth_DUMMY(3 downto 0),
  732.                 tensBCDin(3 downto 0)=>tensMonth_DUMMY(3 downto 0),
  733.                 onesBCDenable=>XLXN_644,
  734.                 onesBCDout(3 downto 0)=>XLXN_640(3 downto 0),
  735.                 tensBCDenable=>XLXN_642,
  736.                 tensBCDout(3 downto 0)=>XLXN_643(3 downto 0));
  737.    
  738.    onesDay : ModCounter
  739.       port map (clk=>Clck,
  740.                 EN=>XLXN_811,
  741.                 reset=>XLXN_601,
  742.                 setEN=>XLXN_604,
  743.                 setValue(3 downto 0)=>XLXN_600(3 downto 0),
  744.                 carry=>XLXN_597,
  745.                 Q(3 downto 0)=>onesDay_DUMMY(3 downto 0));
  746.    
  747.    onesHour : ModCounter
  748.       port map (clk=>Clck,
  749.                 EN=>XLXN_810,
  750.                 reset=>XLXN_593,
  751.                 setEN=>XLXN_594,
  752.                 setValue(3 downto 0)=>XLXN_592(3 downto 0),
  753.                 carry=>XLXN_556,
  754.                 Q(3 downto 0)=>onesHour_DUMMY(3 downto 0));
  755.    
  756.    onesMinute : ModCounter
  757.       port map (clk=>Clck,
  758.                 EN=>XLXN_809,
  759.                 reset=>XLXN_550,
  760.                 setEN=>XLXN_550,
  761.                 setValue(3 downto 0)=>XLXN_546(3 downto 0),
  762.                 carry=>XLXN_549,
  763.                 Q(3 downto 0)=>onesMinute(3 downto 0));
  764.    
  765.    onesMonth : ModCounter
  766.       port map (clk=>Clck,
  767.                 EN=>XLXN_812,
  768.                 reset=>XLXN_641,
  769.                 setEN=>XLXN_644,
  770.                 setValue(3 downto 0)=>XLXN_640(3 downto 0),
  771.                 carry=>XLXN_637,
  772.                 Q(3 downto 0)=>onesMonth_DUMMY(3 downto 0));
  773.    
  774.    onesSecond : ModCounter
  775.       port map (clk=>Clck,
  776.                 EN=>in1Hz,
  777.                 reset=>XLXN_543,
  778.                 setEN=>XLXN_543,
  779.                 setValue(3 downto 0)=>XLXN_537(3 downto 0),
  780.                 carry=>XLXN_542,
  781.                 Q(3 downto 0)=>onesSecond(3 downto 0));
  782.    
  783.    onesYear : ModCounter
  784.       port map (clk=>Clck,
  785.                 EN=>XLXN_813,
  786.                 reset=>XLXN_748,
  787.                 setEN=>XLXN_748,
  788.                 setValue(3 downto 0)=>XLXN_744(3 downto 0),
  789.                 carry=>XLXN_747,
  790.                 Q(3 downto 0)=>onesYear(3 downto 0));
  791.    
  792.    tensDay : ModCounter
  793.       port map (clk=>Clck,
  794.                 EN=>XLXN_597,
  795.                 reset=>XLXN_596,
  796.                 setEN=>XLXN_602,
  797.                 setValue(3 downto 0)=>XLXN_603(3 downto 0),
  798.                 carry=>XLXN_812,
  799.                 Q(3 downto 0)=>tensDay_DUMMY(3 downto 0));
  800.    
  801.    tensHour : ModCounter
  802.       port map (clk=>Clck,
  803.                 EN=>XLXN_556,
  804.                 reset=>XLXN_582,
  805.                 setEN=>XLXN_591,
  806.                 setValue(3 downto 0)=>XLXN_590(3 downto 0),
  807.                 carry=>XLXN_811,
  808.                 Q(3 downto 0)=>tensHour_DUMMY(3 downto 0));
  809.    
  810.    tensMinute : ModCounter
  811.       port map (clk=>Clck,
  812.                 EN=>XLXN_549,
  813.                 reset=>XLXN_551,
  814.                 setEN=>XLXN_551,
  815.                 setValue(3 downto 0)=>XLXN_545(3 downto 0),
  816.                 carry=>XLXN_810,
  817.                 Q(3 downto 0)=>tensMinute(3 downto 0));
  818.    
  819.    tensMonth : ModCounter
  820.       port map (clk=>Clck,
  821.                 EN=>XLXN_637,
  822.                 reset=>XLXN_636,
  823.                 setEN=>XLXN_642,
  824.                 setValue(3 downto 0)=>XLXN_643(3 downto 0),
  825.                 carry=>XLXN_813,
  826.                 Q(3 downto 0)=>tensMonth_DUMMY(3 downto 0));
  827.    
  828.    tensSecond : ModCounter
  829.       port map (clk=>Clck,
  830.                 EN=>XLXN_542,
  831.                 reset=>XLXN_544,
  832.                 setEN=>XLXN_544,
  833.                 setValue(3 downto 0)=>XLXN_536(3 downto 0),
  834.                 carry=>XLXN_809,
  835.                 Q(3 downto 0)=>tensSecond(3 downto 0));
  836.    
  837.    tensYear : ModCounter
  838.       port map (clk=>Clck,
  839.                 EN=>XLXN_747,
  840.                 reset=>XLXN_749,
  841.                 setEN=>XLXN_749,
  842.                 setValue(3 downto 0)=>XLXN_743(3 downto 0),
  843.                 carry=>XLXN_781,
  844.                 Q(3 downto 0)=>tensYear(3 downto 0));
  845.    
  846.    thousandsYear : ModCounter
  847.       port map (clk=>Clck,
  848.                 EN=>XLXN_735,
  849.                 reset=>XLXN_734,
  850.                 setEN=>XLXN_740,
  851.                 setValue(3 downto 0)=>XLXN_741(3 downto 0),
  852.                 carry=>open,
  853.                 Q(3 downto 0)=>thousandsYear_DUMMY(3 downto 0));
  854.    
  855.    XLXI_394 : const4Bits
  856.       port map (BitVector(3 downto 0)=>XLXN_536(3 downto 0));
  857.    
  858.    XLXI_395 : const4Bits
  859.       port map (BitVector(3 downto 0)=>XLXN_537(3 downto 0));
  860.    
  861.    XLXI_396 : GND
  862.       port map (G=>XLXN_543);
  863.    
  864.    XLXI_397 : GND
  865.       port map (G=>XLXN_544);
  866.    
  867.    XLXI_400 : const4Bits
  868.       port map (BitVector(3 downto 0)=>XLXN_545(3 downto 0));
  869.    
  870.    XLXI_401 : const4Bits
  871.       port map (BitVector(3 downto 0)=>XLXN_546(3 downto 0));
  872.    
  873.    XLXI_402 : GND
  874.       port map (G=>XLXN_550);
  875.    
  876.    XLXI_403 : GND
  877.       port map (G=>XLXN_551);
  878.    
  879.    XLXI_408 : GND
  880.       port map (G=>XLXN_593);
  881.    
  882.    XLXI_409 : GND
  883.       port map (G=>XLXN_582);
  884.    
  885.    XLXI_413 : GND
  886.       port map (G=>XLXN_596);
  887.    
  888.    XLXI_414 : GND
  889.       port map (G=>XLXN_601);
  890.    
  891.    XLXI_433 : GND
  892.       port map (G=>XLXN_636);
  893.    
  894.    XLXI_434 : GND
  895.       port map (G=>XLXN_641);
  896.    
  897.    XLXI_492 : GND
  898.       port map (G=>XLXN_734);
  899.    
  900.    XLXI_493 : GND
  901.       port map (G=>XLXN_739);
  902.    
  903.    XLXI_494 : BCDresetter
  904.       port map (onesBCDin(3 downto 0)=>hundredsYear_DUMMY(3 downto 0),
  905.                 tensBCDin(3 downto 0)=>thousandsYear_DUMMY(3 downto 0),
  906.                 onesBCDenable=>XLXN_742,
  907.                 onesBCDout(3 downto 0)=>XLXN_738(3 downto 0),
  908.                 tensBCDenable=>XLXN_740,
  909.                 tensBCDout(3 downto 0)=>XLXN_741(3 downto 0));
  910.    
  911.    XLXI_497 : const4Bits
  912.       port map (BitVector(3 downto 0)=>XLXN_743(3 downto 0));
  913.    
  914.    XLXI_498 : const4Bits
  915.       port map (BitVector(3 downto 0)=>XLXN_744(3 downto 0));
  916.    
  917.    XLXI_499 : GND
  918.       port map (G=>XLXN_748);
  919.    
  920.    XLXI_500 : GND
  921.       port map (G=>XLXN_749);
  922.    
  923. end BEHAVIORAL;
  924.  
  925.  
  926.  
  927. library ieee;
  928. use ieee.std_logic_1164.ALL;
  929. use ieee.numeric_std.ALL;
  930. library UNISIM;
  931. use UNISIM.Vcomponents.ALL;
  932.  
  933. entity DCF77 is
  934.    port ( BTN3  : in    std_logic;
  935.           Clock : in    std_logic;
  936.           SW0   : in    std_logic;
  937.           AN    : out   std_logic_vector (3 downto 0);
  938.           LEDs  : out   std_logic_vector (7 downto 0));
  939. end DCF77;
  940.  
  941. architecture BEHAVIORAL of DCF77 is
  942.    signal XLXN_163                     : std_logic_vector (3 downto 0);
  943.    signal XLXN_164                     : std_logic_vector (3 downto 0);
  944.    signal XLXN_165                     : std_logic_vector (3 downto 0);
  945.    signal XLXN_166                     : std_logic_vector (3 downto 0);
  946.    signal XLXN_167                     : std_logic_vector (3 downto 0);
  947.    signal XLXN_168                     : std_logic_vector (3 downto 0);
  948.    signal XLXN_169                     : std_logic_vector (3 downto 0);
  949.    signal XLXN_170                     : std_logic_vector (3 downto 0);
  950.    signal XLXN_171                     : std_logic_vector (3 downto 0);
  951.    signal XLXN_172                     : std_logic_vector (3 downto 0);
  952.    signal XLXN_173                     : std_logic_vector (3 downto 0);
  953.    signal XLXN_174                     : std_logic_vector (3 downto 0);
  954.    signal XLXN_177                     : std_logic_vector (3 downto 0);
  955.    signal XLXN_178                     : std_logic_vector (3 downto 0);
  956.    signal XLXN_187                     : std_logic_vector (3 downto 0);
  957.    signal XLXN_188                     : std_logic_vector (3 downto 0);
  958.    signal XLXN_189                     : std_logic_vector (3 downto 0);
  959.    signal XLXN_190                     : std_logic_vector (3 downto 0);
  960.    signal XLXN_193                     : std_logic;
  961.    signal XLXI_111_Blinking_openSignal : std_logic_vector (3 downto 0);
  962.    component bcddecoder
  963.       port ( Clk         : in    std_logic;
  964.              Digit0      : in    std_logic_vector (3 downto 0);
  965.              Digit1      : in    std_logic_vector (3 downto 0);
  966.              Digit2      : in    std_logic_vector (3 downto 0);
  967.              Digit3      : in    std_logic_vector (3 downto 0);
  968.              DigitSelect : out   std_logic_vector (3 downto 0);
  969.              Segments    : out   std_logic_vector (7 downto 0));
  970.    end component;
  971.    
  972.    component Clockwork_MUSER_DCF77
  973.       port ( in1Hz         : in    std_logic;
  974.              tensSecond    : out   std_logic_vector (3 downto 0);
  975.              onesSecond    : out   std_logic_vector (3 downto 0);
  976.              tensMinute    : out   std_logic_vector (3 downto 0);
  977.              onesMinute    : out   std_logic_vector (3 downto 0);
  978.              onesDay       : out   std_logic_vector (3 downto 0);
  979.              tensDay       : out   std_logic_vector (3 downto 0);
  980.              onesHour      : out   std_logic_vector (3 downto 0);
  981.              tensHour      : out   std_logic_vector (3 downto 0);
  982.              onesMonth     : out   std_logic_vector (3 downto 0);
  983.              tensMonth     : out   std_logic_vector (3 downto 0);
  984.              onesYear      : out   std_logic_vector (3 downto 0);
  985.              tensYear      : out   std_logic_vector (3 downto 0);
  986.              hundredsYear  : out   std_logic_vector (3 downto 0);
  987.              thousandsYear : out   std_logic_vector (3 downto 0);
  988.              Clck          : in    std_logic);
  989.    end component;
  990.    
  991.    component divClock1Hz
  992.       port ( Clock  : in    std_logic;
  993.              out1Hz : out   std_logic);
  994.    end component;
  995.    
  996.    component ValueMuxer
  997.       port ( Date_Time     : in    std_logic;
  998.              Second_Year   : in    std_logic;
  999.              onesSecond    : in    std_logic_vector (3 downto 0);
  1000.              tensSecond    : in    std_logic_vector (3 downto 0);
  1001.              onesMinute    : in    std_logic_vector (3 downto 0);
  1002.              tensMinute    : in    std_logic_vector (3 downto 0);
  1003.              onesHour      : in    std_logic_vector (3 downto 0);
  1004.              tensHour      : in    std_logic_vector (3 downto 0);
  1005.              onesDay       : in    std_logic_vector (3 downto 0);
  1006.              tensDay       : in    std_logic_vector (3 downto 0);
  1007.              onesMonth     : in    std_logic_vector (3 downto 0);
  1008.              tensMonth     : in    std_logic_vector (3 downto 0);
  1009.              tensYear      : in    std_logic_vector (3 downto 0);
  1010.              hundredsYear  : in    std_logic_vector (3 downto 0);
  1011.              thousandsYear : in    std_logic_vector (3 downto 0);
  1012.              Blinking      : in    std_logic_vector (3 downto 0);
  1013.              Digit0        : out   std_logic_vector (3 downto 0);
  1014.              Digit1        : out   std_logic_vector (3 downto 0);
  1015.              Digit2        : out   std_logic_vector (3 downto 0);
  1016.              Digit3        : out   std_logic_vector (3 downto 0);
  1017.              Dots          : out   std_logic_vector (3 downto 0);
  1018.              onesYear      : in    std_logic_vector (3 downto 0));
  1019.    end component;
  1020.    
  1021. begin
  1022.    XLXI_5 : bcddecoder
  1023.       port map (Clk=>Clock,
  1024.                 Digit0(3 downto 0)=>XLXN_163(3 downto 0),
  1025.                 Digit1(3 downto 0)=>XLXN_164(3 downto 0),
  1026.                 Digit2(3 downto 0)=>XLXN_165(3 downto 0),
  1027.                 Digit3(3 downto 0)=>XLXN_166(3 downto 0),
  1028.                 DigitSelect(3 downto 0)=>AN(3 downto 0),
  1029.                 Segments(7 downto 0)=>LEDs(7 downto 0));
  1030.    
  1031.    XLXI_103 : Clockwork_MUSER_DCF77
  1032.       port map (Clck=>XLXN_193,
  1033.                 in1Hz=>Clock,
  1034.                 hundredsYear(3 downto 0)=>XLXN_189(3 downto 0),
  1035.                 onesDay(3 downto 0)=>XLXN_173(3 downto 0),
  1036.                 onesHour(3 downto 0)=>XLXN_171(3 downto 0),
  1037.                 onesMinute(3 downto 0)=>XLXN_169(3 downto 0),
  1038.                 onesMonth(3 downto 0)=>XLXN_177(3 downto 0),
  1039.                 onesSecond(3 downto 0)=>XLXN_167(3 downto 0),
  1040.                 onesYear(3 downto 0)=>XLXN_187(3 downto 0),
  1041.                 tensDay(3 downto 0)=>XLXN_174(3 downto 0),
  1042.                 tensHour(3 downto 0)=>XLXN_172(3 downto 0),
  1043.                 tensMinute(3 downto 0)=>XLXN_170(3 downto 0),
  1044.                 tensMonth(3 downto 0)=>XLXN_178(3 downto 0),
  1045.                 tensSecond(3 downto 0)=>XLXN_168(3 downto 0),
  1046.                 tensYear(3 downto 0)=>XLXN_188(3 downto 0),
  1047.                 thousandsYear(3 downto 0)=>XLXN_190(3 downto 0));
  1048.    
  1049.    XLXI_104 : divClock1Hz
  1050.       port map (Clock=>Clock,
  1051.                 out1Hz=>XLXN_193);
  1052.    
  1053.    XLXI_111 : ValueMuxer
  1054.       port map (Blinking(3 downto 0)=>XLXI_111_Blinking_openSignal(3 downto 0),
  1055.                 Date_Time=>SW0,
  1056.                 hundredsYear(3 downto 0)=>XLXN_189(3 downto 0),
  1057.                 onesDay(3 downto 0)=>XLXN_173(3 downto 0),
  1058.                 onesHour(3 downto 0)=>XLXN_171(3 downto 0),
  1059.                 onesMinute(3 downto 0)=>XLXN_169(3 downto 0),
  1060.                 onesMonth(3 downto 0)=>XLXN_177(3 downto 0),
  1061.                 onesSecond(3 downto 0)=>XLXN_167(3 downto 0),
  1062.                 onesYear(3 downto 0)=>XLXN_187(3 downto 0),
  1063.                 Second_Year=>BTN3,
  1064.                 tensDay(3 downto 0)=>XLXN_174(3 downto 0),
  1065.                 tensHour(3 downto 0)=>XLXN_172(3 downto 0),
  1066.                 tensMinute(3 downto 0)=>XLXN_170(3 downto 0),
  1067.                 tensMonth(3 downto 0)=>XLXN_178(3 downto 0),
  1068.                 tensSecond(3 downto 0)=>XLXN_168(3 downto 0),
  1069.                 tensYear(3 downto 0)=>XLXN_188(3 downto 0),
  1070.                 thousandsYear(3 downto 0)=>XLXN_190(3 downto 0),
  1071.                 Digit0(3 downto 0)=>XLXN_163(3 downto 0),
  1072.                 Digit1(3 downto 0)=>XLXN_164(3 downto 0),
  1073.                 Digit2(3 downto 0)=>XLXN_165(3 downto 0),
  1074.                 Digit3(3 downto 0)=>XLXN_166(3 downto 0),
  1075.                 Dots=>open);
  1076.    
  1077. end BEHAVIORAL;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement