Advertisement
Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- --------------------------------------------------------------------------------
- -- Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
- --------------------------------------------------------------------------------
- -- ____ ____
- -- / /\/ /
- -- /___/ \ / Vendor: Xilinx
- -- \ \ \/ Version : 13.3
- -- \ \ Application : sch2hdl
- -- / / Filename : DCF77.vhf
- -- /___/ /\ Timestamp : 05/06/2012 01:21:55
- -- \ \ / \
- -- \___\/\___\
- --
- --Command: sch2hdl -intstyle ise -family spartan3e -flat -suppress -vhdl C:/Users/fabian/HSD/DCF77/DCF77.vhf -w C:/Users/fabian/HSD/DCF77/DCF77.sch
- --Design Name: DCF77
- --Device: spartan3e
- --Purpose:
- -- This vhdl netlist is translated from an ECS schematic. It can be
- -- synthesized and simulated, but it should not be modified.
- --
- library ieee;
- use ieee.std_logic_1164.ALL;
- use ieee.numeric_std.ALL;
- library UNISIM;
- use UNISIM.Vcomponents.ALL;
- entity Clockwork_MUSER_DCF77 is
- port ( Clck : in std_logic;
- in1Hz : in std_logic;
- hundredsYear : out std_logic_vector (3 downto 0);
- onesDay : out std_logic_vector (3 downto 0);
- onesHour : out std_logic_vector (3 downto 0);
- onesMinute : out std_logic_vector (3 downto 0);
- onesMonth : out std_logic_vector (3 downto 0);
- onesSecond : out std_logic_vector (3 downto 0);
- onesYear : out std_logic_vector (3 downto 0);
- tensDay : out std_logic_vector (3 downto 0);
- tensHour : out std_logic_vector (3 downto 0);
- tensMinute : out std_logic_vector (3 downto 0);
- tensMonth : out std_logic_vector (3 downto 0);
- tensSecond : out std_logic_vector (3 downto 0);
- tensYear : out std_logic_vector (3 downto 0);
- thousandsYear : out std_logic_vector (3 downto 0));
- end Clockwork_MUSER_DCF77;
- architecture BEHAVIORAL of Clockwork_MUSER_DCF77 is
- attribute highestValue : string ;
- attribute startValue : string ;
- attribute modValue : string ;
- attribute BOX_TYPE : string ;
- signal XLXN_536 : std_logic_vector (3 downto 0);
- signal XLXN_537 : std_logic_vector (3 downto 0);
- signal XLXN_542 : std_logic;
- signal XLXN_543 : std_logic;
- signal XLXN_544 : std_logic;
- signal XLXN_545 : std_logic_vector (3 downto 0);
- signal XLXN_546 : std_logic_vector (3 downto 0);
- signal XLXN_549 : std_logic;
- signal XLXN_550 : std_logic;
- signal XLXN_551 : std_logic;
- signal XLXN_556 : std_logic;
- signal XLXN_582 : std_logic;
- signal XLXN_590 : std_logic_vector (3 downto 0);
- signal XLXN_591 : std_logic;
- signal XLXN_592 : std_logic_vector (3 downto 0);
- signal XLXN_593 : std_logic;
- signal XLXN_594 : std_logic;
- signal XLXN_596 : std_logic;
- signal XLXN_597 : std_logic;
- signal XLXN_600 : std_logic_vector (3 downto 0);
- signal XLXN_601 : std_logic;
- signal XLXN_602 : std_logic;
- signal XLXN_603 : std_logic_vector (3 downto 0);
- signal XLXN_604 : std_logic;
- signal XLXN_636 : std_logic;
- signal XLXN_637 : std_logic;
- signal XLXN_640 : std_logic_vector (3 downto 0);
- signal XLXN_641 : std_logic;
- signal XLXN_642 : std_logic;
- signal XLXN_643 : std_logic_vector (3 downto 0);
- signal XLXN_644 : std_logic;
- signal XLXN_734 : std_logic;
- signal XLXN_735 : std_logic;
- signal XLXN_738 : std_logic_vector (3 downto 0);
- signal XLXN_739 : std_logic;
- signal XLXN_740 : std_logic;
- signal XLXN_741 : std_logic_vector (3 downto 0);
- signal XLXN_742 : std_logic;
- signal XLXN_743 : std_logic_vector (3 downto 0);
- signal XLXN_744 : std_logic_vector (3 downto 0);
- signal XLXN_747 : std_logic;
- signal XLXN_748 : std_logic;
- signal XLXN_749 : std_logic;
- signal XLXN_781 : std_logic;
- signal XLXN_809 : std_logic;
- signal XLXN_810 : std_logic;
- signal XLXN_811 : std_logic;
- signal XLXN_812 : std_logic;
- signal XLXN_813 : std_logic;
- signal tensMonth_DUMMY : std_logic_vector (3 downto 0);
- signal onesDay_DUMMY : std_logic_vector (3 downto 0);
- signal tensDay_DUMMY : std_logic_vector (3 downto 0);
- signal onesMonth_DUMMY : std_logic_vector (3 downto 0);
- signal tensHour_DUMMY : std_logic_vector (3 downto 0);
- signal thousandsYear_DUMMY : std_logic_vector (3 downto 0);
- signal hundredsYear_DUMMY : std_logic_vector (3 downto 0);
- signal onesHour_DUMMY : std_logic_vector (3 downto 0);
- component BCDresetter
- port ( onesBCDin : in std_logic_vector (3 downto 0);
- tensBCDin : in std_logic_vector (3 downto 0);
- tensBCDout : out std_logic_vector (3 downto 0);
- tensBCDenable : out std_logic;
- onesBCDout : out std_logic_vector (3 downto 0);
- onesBCDenable : out std_logic);
- end component;
- component ModCounter
- port ( clk : in std_logic;
- reset : in std_logic;
- EN : in std_logic;
- setEN : in std_logic;
- setValue : in std_logic_vector (3 downto 0);
- carry : out std_logic;
- Q : out std_logic_vector (3 downto 0));
- end component;
- component const4Bits
- port ( BitVector : out std_logic_vector (3 downto 0));
- end component;
- component GND
- port ( G : out std_logic);
- end component;
- attribute BOX_TYPE of GND : component is "BLACK_BOX";
- attribute highestValue of DaysReset : label is "31";
- attribute startValue of DaysReset : label is "1";
- attribute highestValue of HoursReset : label is "23";
- attribute modValue of hundredsYear : label is "1010"; --<--!!!
- attribute highestValue of Monthsreset : label is "12";
- attribute startValue of Monthsreset : label is "1";
- attribute modValue of onesDay : label is "1010";
- attribute modValue of onesHour : label is "1010";
- attribute modValue of onesMinute : label is "1010";
- attribute modValue of onesMonth : label is "1010";
- attribute modValue of onesSecond : label is "1010";
- attribute modValue of onesYear : label is "1010";
- attribute modValue of tensDay : label is "0040";
- attribute modValue of tensHour : label is "0110";
- attribute modValue of tensMinute : label is "0110";
- attribute modValue of tensMonth : label is "0010";
- attribute modValue of tensSecond : label is "0110";
- attribute modValue of tensYear : label is "1010";
- attribute modValue of thousandsYear : label is "1010";
- attribute highestValue of XLXI_494 : label is "12";
- attribute startValue of XLXI_494 : label is "20";
- begin
- hundredsYear(3 downto 0) <= hundredsYear_DUMMY(3 downto 0);
- onesDay(3 downto 0) <= onesDay_DUMMY(3 downto 0);
- onesHour(3 downto 0) <= onesHour_DUMMY(3 downto 0);
- onesMonth(3 downto 0) <= onesMonth_DUMMY(3 downto 0);
- tensDay(3 downto 0) <= tensDay_DUMMY(3 downto 0);
- tensHour(3 downto 0) <= tensHour_DUMMY(3 downto 0);
- tensMonth(3 downto 0) <= tensMonth_DUMMY(3 downto 0);
- thousandsYear(3 downto 0) <= thousandsYear_DUMMY(3 downto 0);
- DaysReset : BCDresetter
- port map (onesBCDin(3 downto 0)=>onesDay_DUMMY(3 downto 0),
- tensBCDin(3 downto 0)=>tensDay_DUMMY(3 downto 0),
- onesBCDenable=>XLXN_604,
- onesBCDout(3 downto 0)=>XLXN_600(3 downto 0),
- tensBCDenable=>XLXN_602,
- tensBCDout(3 downto 0)=>XLXN_603(3 downto 0));
- HoursReset : BCDresetter
- port map (onesBCDin(3 downto 0)=>onesHour_DUMMY(3 downto 0),
- tensBCDin(3 downto 0)=>tensHour_DUMMY(3 downto 0),
- onesBCDenable=>XLXN_594,
- onesBCDout(3 downto 0)=>XLXN_592(3 downto 0),
- tensBCDenable=>XLXN_591,
- tensBCDout(3 downto 0)=>XLXN_590(3 downto 0));
- hundredsYear : ModCounter
- port map (clk=>Clck,
- EN=>XLXN_781,
- reset=>XLXN_739,
- setEN=>XLXN_742,
- setValue(3 downto 0)=>XLXN_738(3 downto 0),
- carry=>XLXN_735,
- Q(3 downto 0)=>hundredsYear_DUMMY(3 downto 0));
- Monthsreset : BCDresetter
- port map (onesBCDin(3 downto 0)=>onesMonth_DUMMY(3 downto 0),
- tensBCDin(3 downto 0)=>tensMonth_DUMMY(3 downto 0),
- onesBCDenable=>XLXN_644,
- onesBCDout(3 downto 0)=>XLXN_640(3 downto 0),
- tensBCDenable=>XLXN_642,
- tensBCDout(3 downto 0)=>XLXN_643(3 downto 0));
- onesDay : ModCounter
- port map (clk=>Clck,
- EN=>XLXN_811,
- reset=>XLXN_601,
- setEN=>XLXN_604,
- setValue(3 downto 0)=>XLXN_600(3 downto 0),
- carry=>XLXN_597,
- Q(3 downto 0)=>onesDay_DUMMY(3 downto 0));
- onesHour : ModCounter
- port map (clk=>Clck,
- EN=>XLXN_810,
- reset=>XLXN_593,
- setEN=>XLXN_594,
- setValue(3 downto 0)=>XLXN_592(3 downto 0),
- carry=>XLXN_556,
- Q(3 downto 0)=>onesHour_DUMMY(3 downto 0));
- onesMinute : ModCounter
- port map (clk=>Clck,
- EN=>XLXN_809,
- reset=>XLXN_550,
- setEN=>XLXN_550,
- setValue(3 downto 0)=>XLXN_546(3 downto 0),
- carry=>XLXN_549,
- Q(3 downto 0)=>onesMinute(3 downto 0));
- onesMonth : ModCounter
- port map (clk=>Clck,
- EN=>XLXN_812,
- reset=>XLXN_641,
- setEN=>XLXN_644,
- setValue(3 downto 0)=>XLXN_640(3 downto 0),
- carry=>XLXN_637,
- Q(3 downto 0)=>onesMonth_DUMMY(3 downto 0));
- onesSecond : ModCounter
- port map (clk=>Clck,
- EN=>in1Hz,
- reset=>XLXN_543,
- setEN=>XLXN_543,
- setValue(3 downto 0)=>XLXN_537(3 downto 0),
- carry=>XLXN_542,
- Q(3 downto 0)=>onesSecond(3 downto 0));
- onesYear : ModCounter
- port map (clk=>Clck,
- EN=>XLXN_813,
- reset=>XLXN_748,
- setEN=>XLXN_748,
- setValue(3 downto 0)=>XLXN_744(3 downto 0),
- carry=>XLXN_747,
- Q(3 downto 0)=>onesYear(3 downto 0));
- tensDay : ModCounter
- port map (clk=>Clck,
- EN=>XLXN_597,
- reset=>XLXN_596,
- setEN=>XLXN_602,
- setValue(3 downto 0)=>XLXN_603(3 downto 0),
- carry=>XLXN_812,
- Q(3 downto 0)=>tensDay_DUMMY(3 downto 0));
- tensHour : ModCounter
- port map (clk=>Clck,
- EN=>XLXN_556,
- reset=>XLXN_582,
- setEN=>XLXN_591,
- setValue(3 downto 0)=>XLXN_590(3 downto 0),
- carry=>XLXN_811,
- Q(3 downto 0)=>tensHour_DUMMY(3 downto 0));
- tensMinute : ModCounter
- port map (clk=>Clck,
- EN=>XLXN_549,
- reset=>XLXN_551,
- setEN=>XLXN_551,
- setValue(3 downto 0)=>XLXN_545(3 downto 0),
- carry=>XLXN_810,
- Q(3 downto 0)=>tensMinute(3 downto 0));
- tensMonth : ModCounter
- port map (clk=>Clck,
- EN=>XLXN_637,
- reset=>XLXN_636,
- setEN=>XLXN_642,
- setValue(3 downto 0)=>XLXN_643(3 downto 0),
- carry=>XLXN_813,
- Q(3 downto 0)=>tensMonth_DUMMY(3 downto 0));
- tensSecond : ModCounter
- port map (clk=>Clck,
- EN=>XLXN_542,
- reset=>XLXN_544,
- setEN=>XLXN_544,
- setValue(3 downto 0)=>XLXN_536(3 downto 0),
- carry=>XLXN_809,
- Q(3 downto 0)=>tensSecond(3 downto 0));
- tensYear : ModCounter
- port map (clk=>Clck,
- EN=>XLXN_747,
- reset=>XLXN_749,
- setEN=>XLXN_749,
- setValue(3 downto 0)=>XLXN_743(3 downto 0),
- carry=>XLXN_781,
- Q(3 downto 0)=>tensYear(3 downto 0));
- thousandsYear : ModCounter
- port map (clk=>Clck,
- EN=>XLXN_735,
- reset=>XLXN_734,
- setEN=>XLXN_740,
- setValue(3 downto 0)=>XLXN_741(3 downto 0),
- carry=>open,
- Q(3 downto 0)=>thousandsYear_DUMMY(3 downto 0));
- XLXI_394 : const4Bits
- port map (BitVector(3 downto 0)=>XLXN_536(3 downto 0));
- XLXI_395 : const4Bits
- port map (BitVector(3 downto 0)=>XLXN_537(3 downto 0));
- XLXI_396 : GND
- port map (G=>XLXN_543);
- XLXI_397 : GND
- port map (G=>XLXN_544);
- XLXI_400 : const4Bits
- port map (BitVector(3 downto 0)=>XLXN_545(3 downto 0));
- XLXI_401 : const4Bits
- port map (BitVector(3 downto 0)=>XLXN_546(3 downto 0));
- XLXI_402 : GND
- port map (G=>XLXN_550);
- XLXI_403 : GND
- port map (G=>XLXN_551);
- XLXI_408 : GND
- port map (G=>XLXN_593);
- XLXI_409 : GND
- port map (G=>XLXN_582);
- XLXI_413 : GND
- port map (G=>XLXN_596);
- XLXI_414 : GND
- port map (G=>XLXN_601);
- XLXI_433 : GND
- port map (G=>XLXN_636);
- XLXI_434 : GND
- port map (G=>XLXN_641);
- XLXI_492 : GND
- port map (G=>XLXN_734);
- XLXI_493 : GND
- port map (G=>XLXN_739);
- XLXI_494 : BCDresetter
- port map (onesBCDin(3 downto 0)=>hundredsYear_DUMMY(3 downto 0),
- tensBCDin(3 downto 0)=>thousandsYear_DUMMY(3 downto 0),
- onesBCDenable=>XLXN_742,
- onesBCDout(3 downto 0)=>XLXN_738(3 downto 0),
- tensBCDenable=>XLXN_740,
- tensBCDout(3 downto 0)=>XLXN_741(3 downto 0));
- XLXI_497 : const4Bits
- port map (BitVector(3 downto 0)=>XLXN_743(3 downto 0));
- XLXI_498 : const4Bits
- port map (BitVector(3 downto 0)=>XLXN_744(3 downto 0));
- XLXI_499 : GND
- port map (G=>XLXN_748);
- XLXI_500 : GND
- port map (G=>XLXN_749);
- end BEHAVIORAL;
- library ieee;
- use ieee.std_logic_1164.ALL;
- use ieee.numeric_std.ALL;
- library UNISIM;
- use UNISIM.Vcomponents.ALL;
- entity DCF77 is
- port ( BTN3 : in std_logic;
- Clock : in std_logic;
- SW0 : in std_logic;
- AN : out std_logic_vector (3 downto 0);
- LEDs : out std_logic_vector (7 downto 0));
- end DCF77;
- architecture BEHAVIORAL of DCF77 is
- signal XLXN_163 : std_logic_vector (3 downto 0);
- signal XLXN_164 : std_logic_vector (3 downto 0);
- signal XLXN_165 : std_logic_vector (3 downto 0);
- signal XLXN_166 : std_logic_vector (3 downto 0);
- signal XLXN_167 : std_logic_vector (3 downto 0);
- signal XLXN_168 : std_logic_vector (3 downto 0);
- signal XLXN_169 : std_logic_vector (3 downto 0);
- signal XLXN_170 : std_logic_vector (3 downto 0);
- signal XLXN_171 : std_logic_vector (3 downto 0);
- signal XLXN_172 : std_logic_vector (3 downto 0);
- signal XLXN_173 : std_logic_vector (3 downto 0);
- signal XLXN_174 : std_logic_vector (3 downto 0);
- signal XLXN_177 : std_logic_vector (3 downto 0);
- signal XLXN_178 : std_logic_vector (3 downto 0);
- signal XLXN_187 : std_logic_vector (3 downto 0);
- signal XLXN_188 : std_logic_vector (3 downto 0);
- signal XLXN_189 : std_logic_vector (3 downto 0);
- signal XLXN_190 : std_logic_vector (3 downto 0);
- signal XLXN_193 : std_logic;
- signal XLXI_111_Blinking_openSignal : std_logic_vector (3 downto 0);
- component bcddecoder
- port ( Clk : in std_logic;
- Digit0 : in std_logic_vector (3 downto 0);
- Digit1 : in std_logic_vector (3 downto 0);
- Digit2 : in std_logic_vector (3 downto 0);
- Digit3 : in std_logic_vector (3 downto 0);
- DigitSelect : out std_logic_vector (3 downto 0);
- Segments : out std_logic_vector (7 downto 0));
- end component;
- component Clockwork_MUSER_DCF77
- port ( in1Hz : in std_logic;
- tensSecond : out std_logic_vector (3 downto 0);
- onesSecond : out std_logic_vector (3 downto 0);
- tensMinute : out std_logic_vector (3 downto 0);
- onesMinute : out std_logic_vector (3 downto 0);
- onesDay : out std_logic_vector (3 downto 0);
- tensDay : out std_logic_vector (3 downto 0);
- onesHour : out std_logic_vector (3 downto 0);
- tensHour : out std_logic_vector (3 downto 0);
- onesMonth : out std_logic_vector (3 downto 0);
- tensMonth : out std_logic_vector (3 downto 0);
- onesYear : out std_logic_vector (3 downto 0);
- tensYear : out std_logic_vector (3 downto 0);
- hundredsYear : out std_logic_vector (3 downto 0);
- thousandsYear : out std_logic_vector (3 downto 0);
- Clck : in std_logic);
- end component;
- component divClock1Hz
- port ( Clock : in std_logic;
- out1Hz : out std_logic);
- end component;
- component ValueMuxer
- port ( Date_Time : in std_logic;
- Second_Year : in std_logic;
- onesSecond : in std_logic_vector (3 downto 0);
- tensSecond : in std_logic_vector (3 downto 0);
- onesMinute : in std_logic_vector (3 downto 0);
- tensMinute : in std_logic_vector (3 downto 0);
- onesHour : in std_logic_vector (3 downto 0);
- tensHour : in std_logic_vector (3 downto 0);
- onesDay : in std_logic_vector (3 downto 0);
- tensDay : in std_logic_vector (3 downto 0);
- onesMonth : in std_logic_vector (3 downto 0);
- tensMonth : in std_logic_vector (3 downto 0);
- tensYear : in std_logic_vector (3 downto 0);
- hundredsYear : in std_logic_vector (3 downto 0);
- thousandsYear : in std_logic_vector (3 downto 0);
- Blinking : in std_logic_vector (3 downto 0);
- Digit0 : out std_logic_vector (3 downto 0);
- Digit1 : out std_logic_vector (3 downto 0);
- Digit2 : out std_logic_vector (3 downto 0);
- Digit3 : out std_logic_vector (3 downto 0);
- Dots : out std_logic_vector (3 downto 0);
- onesYear : in std_logic_vector (3 downto 0));
- end component;
- begin
- XLXI_5 : bcddecoder
- port map (Clk=>Clock,
- Digit0(3 downto 0)=>XLXN_163(3 downto 0),
- Digit1(3 downto 0)=>XLXN_164(3 downto 0),
- Digit2(3 downto 0)=>XLXN_165(3 downto 0),
- Digit3(3 downto 0)=>XLXN_166(3 downto 0),
- DigitSelect(3 downto 0)=>AN(3 downto 0),
- Segments(7 downto 0)=>LEDs(7 downto 0));
- XLXI_103 : Clockwork_MUSER_DCF77
- port map (Clck=>XLXN_193,
- in1Hz=>Clock,
- hundredsYear(3 downto 0)=>XLXN_189(3 downto 0),
- onesDay(3 downto 0)=>XLXN_173(3 downto 0),
- onesHour(3 downto 0)=>XLXN_171(3 downto 0),
- onesMinute(3 downto 0)=>XLXN_169(3 downto 0),
- onesMonth(3 downto 0)=>XLXN_177(3 downto 0),
- onesSecond(3 downto 0)=>XLXN_167(3 downto 0),
- onesYear(3 downto 0)=>XLXN_187(3 downto 0),
- tensDay(3 downto 0)=>XLXN_174(3 downto 0),
- tensHour(3 downto 0)=>XLXN_172(3 downto 0),
- tensMinute(3 downto 0)=>XLXN_170(3 downto 0),
- tensMonth(3 downto 0)=>XLXN_178(3 downto 0),
- tensSecond(3 downto 0)=>XLXN_168(3 downto 0),
- tensYear(3 downto 0)=>XLXN_188(3 downto 0),
- thousandsYear(3 downto 0)=>XLXN_190(3 downto 0));
- XLXI_104 : divClock1Hz
- port map (Clock=>Clock,
- out1Hz=>XLXN_193);
- XLXI_111 : ValueMuxer
- port map (Blinking(3 downto 0)=>XLXI_111_Blinking_openSignal(3 downto 0),
- Date_Time=>SW0,
- hundredsYear(3 downto 0)=>XLXN_189(3 downto 0),
- onesDay(3 downto 0)=>XLXN_173(3 downto 0),
- onesHour(3 downto 0)=>XLXN_171(3 downto 0),
- onesMinute(3 downto 0)=>XLXN_169(3 downto 0),
- onesMonth(3 downto 0)=>XLXN_177(3 downto 0),
- onesSecond(3 downto 0)=>XLXN_167(3 downto 0),
- onesYear(3 downto 0)=>XLXN_187(3 downto 0),
- Second_Year=>BTN3,
- tensDay(3 downto 0)=>XLXN_174(3 downto 0),
- tensHour(3 downto 0)=>XLXN_172(3 downto 0),
- tensMinute(3 downto 0)=>XLXN_170(3 downto 0),
- tensMonth(3 downto 0)=>XLXN_178(3 downto 0),
- tensSecond(3 downto 0)=>XLXN_168(3 downto 0),
- tensYear(3 downto 0)=>XLXN_188(3 downto 0),
- thousandsYear(3 downto 0)=>XLXN_190(3 downto 0),
- Digit0(3 downto 0)=>XLXN_163(3 downto 0),
- Digit1(3 downto 0)=>XLXN_164(3 downto 0),
- Digit2(3 downto 0)=>XLXN_165(3 downto 0),
- Digit3(3 downto 0)=>XLXN_166(3 downto 0),
- Dots=>open);
- end BEHAVIORAL;--------------------------------------------------------------------------------
- -- Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved.
- --------------------------------------------------------------------------------
- -- ____ ____
- -- / /\/ /
- -- /___/ \ / Vendor: Xilinx
- -- \ \ \/ Version : 13.3
- -- \ \ Application : sch2hdl
- -- / / Filename : DCF77.vhf
- -- /___/ /\ Timestamp : 05/06/2012 01:21:55
- -- \ \ / \
- -- \___\/\___\
- --
- --Command: sch2hdl -intstyle ise -family spartan3e -flat -suppress -vhdl C:/Users/fabian/HSD/DCF77/DCF77.vhf -w C:/Users/fabian/HSD/DCF77/DCF77.sch
- --Design Name: DCF77
- --Device: spartan3e
- --Purpose:
- -- This vhdl netlist is translated from an ECS schematic. It can be
- -- synthesized and simulated, but it should not be modified.
- --
- library ieee;
- use ieee.std_logic_1164.ALL;
- use ieee.numeric_std.ALL;
- library UNISIM;
- use UNISIM.Vcomponents.ALL;
- entity Clockwork_MUSER_DCF77 is
- port ( Clck : in std_logic;
- in1Hz : in std_logic;
- hundredsYear : out std_logic_vector (3 downto 0);
- onesDay : out std_logic_vector (3 downto 0);
- onesHour : out std_logic_vector (3 downto 0);
- onesMinute : out std_logic_vector (3 downto 0);
- onesMonth : out std_logic_vector (3 downto 0);
- onesSecond : out std_logic_vector (3 downto 0);
- onesYear : out std_logic_vector (3 downto 0);
- tensDay : out std_logic_vector (3 downto 0);
- tensHour : out std_logic_vector (3 downto 0);
- tensMinute : out std_logic_vector (3 downto 0);
- tensMonth : out std_logic_vector (3 downto 0);
- tensSecond : out std_logic_vector (3 downto 0);
- tensYear : out std_logic_vector (3 downto 0);
- thousandsYear : out std_logic_vector (3 downto 0));
- end Clockwork_MUSER_DCF77;
- architecture BEHAVIORAL of Clockwork_MUSER_DCF77 is
- attribute highestValue : string ;
- attribute startValue : string ;
- attribute modValue : string ;
- attribute BOX_TYPE : string ;
- signal XLXN_536 : std_logic_vector (3 downto 0);
- signal XLXN_537 : std_logic_vector (3 downto 0);
- signal XLXN_542 : std_logic;
- signal XLXN_543 : std_logic;
- signal XLXN_544 : std_logic;
- signal XLXN_545 : std_logic_vector (3 downto 0);
- signal XLXN_546 : std_logic_vector (3 downto 0);
- signal XLXN_549 : std_logic;
- signal XLXN_550 : std_logic;
- signal XLXN_551 : std_logic;
- signal XLXN_556 : std_logic;
- signal XLXN_582 : std_logic;
- signal XLXN_590 : std_logic_vector (3 downto 0);
- signal XLXN_591 : std_logic;
- signal XLXN_592 : std_logic_vector (3 downto 0);
- signal XLXN_593 : std_logic;
- signal XLXN_594 : std_logic;
- signal XLXN_596 : std_logic;
- signal XLXN_597 : std_logic;
- signal XLXN_600 : std_logic_vector (3 downto 0);
- signal XLXN_601 : std_logic;
- signal XLXN_602 : std_logic;
- signal XLXN_603 : std_logic_vector (3 downto 0);
- signal XLXN_604 : std_logic;
- signal XLXN_636 : std_logic;
- signal XLXN_637 : std_logic;
- signal XLXN_640 : std_logic_vector (3 downto 0);
- signal XLXN_641 : std_logic;
- signal XLXN_642 : std_logic;
- signal XLXN_643 : std_logic_vector (3 downto 0);
- signal XLXN_644 : std_logic;
- signal XLXN_734 : std_logic;
- signal XLXN_735 : std_logic;
- signal XLXN_738 : std_logic_vector (3 downto 0);
- signal XLXN_739 : std_logic;
- signal XLXN_740 : std_logic;
- signal XLXN_741 : std_logic_vector (3 downto 0);
- signal XLXN_742 : std_logic;
- signal XLXN_743 : std_logic_vector (3 downto 0);
- signal XLXN_744 : std_logic_vector (3 downto 0);
- signal XLXN_747 : std_logic;
- signal XLXN_748 : std_logic;
- signal XLXN_749 : std_logic;
- signal XLXN_781 : std_logic;
- signal XLXN_809 : std_logic;
- signal XLXN_810 : std_logic;
- signal XLXN_811 : std_logic;
- signal XLXN_812 : std_logic;
- signal XLXN_813 : std_logic;
- signal tensMonth_DUMMY : std_logic_vector (3 downto 0);
- signal onesDay_DUMMY : std_logic_vector (3 downto 0);
- signal tensDay_DUMMY : std_logic_vector (3 downto 0);
- signal onesMonth_DUMMY : std_logic_vector (3 downto 0);
- signal tensHour_DUMMY : std_logic_vector (3 downto 0);
- signal thousandsYear_DUMMY : std_logic_vector (3 downto 0);
- signal hundredsYear_DUMMY : std_logic_vector (3 downto 0);
- signal onesHour_DUMMY : std_logic_vector (3 downto 0);
- component BCDresetter
- port ( onesBCDin : in std_logic_vector (3 downto 0);
- tensBCDin : in std_logic_vector (3 downto 0);
- tensBCDout : out std_logic_vector (3 downto 0);
- tensBCDenable : out std_logic;
- onesBCDout : out std_logic_vector (3 downto 0);
- onesBCDenable : out std_logic);
- end component;
- component ModCounter
- port ( clk : in std_logic;
- reset : in std_logic;
- EN : in std_logic;
- setEN : in std_logic;
- setValue : in std_logic_vector (3 downto 0);
- carry : out std_logic;
- Q : out std_logic_vector (3 downto 0));
- end component;
- component const4Bits
- port ( BitVector : out std_logic_vector (3 downto 0));
- end component;
- component GND
- port ( G : out std_logic);
- end component;
- attribute BOX_TYPE of GND : component is "BLACK_BOX";
- attribute highestValue of DaysReset : label is "31";
- attribute startValue of DaysReset : label is "1";
- attribute highestValue of HoursReset : label is "23";
- attribute modValue of hundredsYear : label is "1010"; --<--!!!
- attribute highestValue of Monthsreset : label is "12";
- attribute startValue of Monthsreset : label is "1";
- attribute modValue of onesDay : label is "1010";
- attribute modValue of onesHour : label is "1010";
- attribute modValue of onesMinute : label is "1010";
- attribute modValue of onesMonth : label is "1010";
- attribute modValue of onesSecond : label is "1010";
- attribute modValue of onesYear : label is "1010";
- attribute modValue of tensDay : label is "0040";
- attribute modValue of tensHour : label is "0110";
- attribute modValue of tensMinute : label is "0110";
- attribute modValue of tensMonth : label is "0010";
- attribute modValue of tensSecond : label is "0110";
- attribute modValue of tensYear : label is "1010";
- attribute modValue of thousandsYear : label is "1010";
- attribute highestValue of XLXI_494 : label is "12";
- attribute startValue of XLXI_494 : label is "20";
- begin
- hundredsYear(3 downto 0) <= hundredsYear_DUMMY(3 downto 0);
- onesDay(3 downto 0) <= onesDay_DUMMY(3 downto 0);
- onesHour(3 downto 0) <= onesHour_DUMMY(3 downto 0);
- onesMonth(3 downto 0) <= onesMonth_DUMMY(3 downto 0);
- tensDay(3 downto 0) <= tensDay_DUMMY(3 downto 0);
- tensHour(3 downto 0) <= tensHour_DUMMY(3 downto 0);
- tensMonth(3 downto 0) <= tensMonth_DUMMY(3 downto 0);
- thousandsYear(3 downto 0) <= thousandsYear_DUMMY(3 downto 0);
- DaysReset : BCDresetter
- port map (onesBCDin(3 downto 0)=>onesDay_DUMMY(3 downto 0),
- tensBCDin(3 downto 0)=>tensDay_DUMMY(3 downto 0),
- onesBCDenable=>XLXN_604,
- onesBCDout(3 downto 0)=>XLXN_600(3 downto 0),
- tensBCDenable=>XLXN_602,
- tensBCDout(3 downto 0)=>XLXN_603(3 downto 0));
- HoursReset : BCDresetter
- port map (onesBCDin(3 downto 0)=>onesHour_DUMMY(3 downto 0),
- tensBCDin(3 downto 0)=>tensHour_DUMMY(3 downto 0),
- onesBCDenable=>XLXN_594,
- onesBCDout(3 downto 0)=>XLXN_592(3 downto 0),
- tensBCDenable=>XLXN_591,
- tensBCDout(3 downto 0)=>XLXN_590(3 downto 0));
- hundredsYear : ModCounter
- port map (clk=>Clck,
- EN=>XLXN_781,
- reset=>XLXN_739,
- setEN=>XLXN_742,
- setValue(3 downto 0)=>XLXN_738(3 downto 0),
- carry=>XLXN_735,
- Q(3 downto 0)=>hundredsYear_DUMMY(3 downto 0));
- Monthsreset : BCDresetter
- port map (onesBCDin(3 downto 0)=>onesMonth_DUMMY(3 downto 0),
- tensBCDin(3 downto 0)=>tensMonth_DUMMY(3 downto 0),
- onesBCDenable=>XLXN_644,
- onesBCDout(3 downto 0)=>XLXN_640(3 downto 0),
- tensBCDenable=>XLXN_642,
- tensBCDout(3 downto 0)=>XLXN_643(3 downto 0));
- onesDay : ModCounter
- port map (clk=>Clck,
- EN=>XLXN_811,
- reset=>XLXN_601,
- setEN=>XLXN_604,
- setValue(3 downto 0)=>XLXN_600(3 downto 0),
- carry=>XLXN_597,
- Q(3 downto 0)=>onesDay_DUMMY(3 downto 0));
- onesHour : ModCounter
- port map (clk=>Clck,
- EN=>XLXN_810,
- reset=>XLXN_593,
- setEN=>XLXN_594,
- setValue(3 downto 0)=>XLXN_592(3 downto 0),
- carry=>XLXN_556,
- Q(3 downto 0)=>onesHour_DUMMY(3 downto 0));
- onesMinute : ModCounter
- port map (clk=>Clck,
- EN=>XLXN_809,
- reset=>XLXN_550,
- setEN=>XLXN_550,
- setValue(3 downto 0)=>XLXN_546(3 downto 0),
- carry=>XLXN_549,
- Q(3 downto 0)=>onesMinute(3 downto 0));
- onesMonth : ModCounter
- port map (clk=>Clck,
- EN=>XLXN_812,
- reset=>XLXN_641,
- setEN=>XLXN_644,
- setValue(3 downto 0)=>XLXN_640(3 downto 0),
- carry=>XLXN_637,
- Q(3 downto 0)=>onesMonth_DUMMY(3 downto 0));
- onesSecond : ModCounter
- port map (clk=>Clck,
- EN=>in1Hz,
- reset=>XLXN_543,
- setEN=>XLXN_543,
- setValue(3 downto 0)=>XLXN_537(3 downto 0),
- carry=>XLXN_542,
- Q(3 downto 0)=>onesSecond(3 downto 0));
- onesYear : ModCounter
- port map (clk=>Clck,
- EN=>XLXN_813,
- reset=>XLXN_748,
- setEN=>XLXN_748,
- setValue(3 downto 0)=>XLXN_744(3 downto 0),
- carry=>XLXN_747,
- Q(3 downto 0)=>onesYear(3 downto 0));
- tensDay : ModCounter
- port map (clk=>Clck,
- EN=>XLXN_597,
- reset=>XLXN_596,
- setEN=>XLXN_602,
- setValue(3 downto 0)=>XLXN_603(3 downto 0),
- carry=>XLXN_812,
- Q(3 downto 0)=>tensDay_DUMMY(3 downto 0));
- tensHour : ModCounter
- port map (clk=>Clck,
- EN=>XLXN_556,
- reset=>XLXN_582,
- setEN=>XLXN_591,
- setValue(3 downto 0)=>XLXN_590(3 downto 0),
- carry=>XLXN_811,
- Q(3 downto 0)=>tensHour_DUMMY(3 downto 0));
- tensMinute : ModCounter
- port map (clk=>Clck,
- EN=>XLXN_549,
- reset=>XLXN_551,
- setEN=>XLXN_551,
- setValue(3 downto 0)=>XLXN_545(3 downto 0),
- carry=>XLXN_810,
- Q(3 downto 0)=>tensMinute(3 downto 0));
- tensMonth : ModCounter
- port map (clk=>Clck,
- EN=>XLXN_637,
- reset=>XLXN_636,
- setEN=>XLXN_642,
- setValue(3 downto 0)=>XLXN_643(3 downto 0),
- carry=>XLXN_813,
- Q(3 downto 0)=>tensMonth_DUMMY(3 downto 0));
- tensSecond : ModCounter
- port map (clk=>Clck,
- EN=>XLXN_542,
- reset=>XLXN_544,
- setEN=>XLXN_544,
- setValue(3 downto 0)=>XLXN_536(3 downto 0),
- carry=>XLXN_809,
- Q(3 downto 0)=>tensSecond(3 downto 0));
- tensYear : ModCounter
- port map (clk=>Clck,
- EN=>XLXN_747,
- reset=>XLXN_749,
- setEN=>XLXN_749,
- setValue(3 downto 0)=>XLXN_743(3 downto 0),
- carry=>XLXN_781,
- Q(3 downto 0)=>tensYear(3 downto 0));
- thousandsYear : ModCounter
- port map (clk=>Clck,
- EN=>XLXN_735,
- reset=>XLXN_734,
- setEN=>XLXN_740,
- setValue(3 downto 0)=>XLXN_741(3 downto 0),
- carry=>open,
- Q(3 downto 0)=>thousandsYear_DUMMY(3 downto 0));
- XLXI_394 : const4Bits
- port map (BitVector(3 downto 0)=>XLXN_536(3 downto 0));
- XLXI_395 : const4Bits
- port map (BitVector(3 downto 0)=>XLXN_537(3 downto 0));
- XLXI_396 : GND
- port map (G=>XLXN_543);
- XLXI_397 : GND
- port map (G=>XLXN_544);
- XLXI_400 : const4Bits
- port map (BitVector(3 downto 0)=>XLXN_545(3 downto 0));
- XLXI_401 : const4Bits
- port map (BitVector(3 downto 0)=>XLXN_546(3 downto 0));
- XLXI_402 : GND
- port map (G=>XLXN_550);
- XLXI_403 : GND
- port map (G=>XLXN_551);
- XLXI_408 : GND
- port map (G=>XLXN_593);
- XLXI_409 : GND
- port map (G=>XLXN_582);
- XLXI_413 : GND
- port map (G=>XLXN_596);
- XLXI_414 : GND
- port map (G=>XLXN_601);
- XLXI_433 : GND
- port map (G=>XLXN_636);
- XLXI_434 : GND
- port map (G=>XLXN_641);
- XLXI_492 : GND
- port map (G=>XLXN_734);
- XLXI_493 : GND
- port map (G=>XLXN_739);
- XLXI_494 : BCDresetter
- port map (onesBCDin(3 downto 0)=>hundredsYear_DUMMY(3 downto 0),
- tensBCDin(3 downto 0)=>thousandsYear_DUMMY(3 downto 0),
- onesBCDenable=>XLXN_742,
- onesBCDout(3 downto 0)=>XLXN_738(3 downto 0),
- tensBCDenable=>XLXN_740,
- tensBCDout(3 downto 0)=>XLXN_741(3 downto 0));
- XLXI_497 : const4Bits
- port map (BitVector(3 downto 0)=>XLXN_743(3 downto 0));
- XLXI_498 : const4Bits
- port map (BitVector(3 downto 0)=>XLXN_744(3 downto 0));
- XLXI_499 : GND
- port map (G=>XLXN_748);
- XLXI_500 : GND
- port map (G=>XLXN_749);
- end BEHAVIORAL;
- library ieee;
- use ieee.std_logic_1164.ALL;
- use ieee.numeric_std.ALL;
- library UNISIM;
- use UNISIM.Vcomponents.ALL;
- entity DCF77 is
- port ( BTN3 : in std_logic;
- Clock : in std_logic;
- SW0 : in std_logic;
- AN : out std_logic_vector (3 downto 0);
- LEDs : out std_logic_vector (7 downto 0));
- end DCF77;
- architecture BEHAVIORAL of DCF77 is
- signal XLXN_163 : std_logic_vector (3 downto 0);
- signal XLXN_164 : std_logic_vector (3 downto 0);
- signal XLXN_165 : std_logic_vector (3 downto 0);
- signal XLXN_166 : std_logic_vector (3 downto 0);
- signal XLXN_167 : std_logic_vector (3 downto 0);
- signal XLXN_168 : std_logic_vector (3 downto 0);
- signal XLXN_169 : std_logic_vector (3 downto 0);
- signal XLXN_170 : std_logic_vector (3 downto 0);
- signal XLXN_171 : std_logic_vector (3 downto 0);
- signal XLXN_172 : std_logic_vector (3 downto 0);
- signal XLXN_173 : std_logic_vector (3 downto 0);
- signal XLXN_174 : std_logic_vector (3 downto 0);
- signal XLXN_177 : std_logic_vector (3 downto 0);
- signal XLXN_178 : std_logic_vector (3 downto 0);
- signal XLXN_187 : std_logic_vector (3 downto 0);
- signal XLXN_188 : std_logic_vector (3 downto 0);
- signal XLXN_189 : std_logic_vector (3 downto 0);
- signal XLXN_190 : std_logic_vector (3 downto 0);
- signal XLXN_193 : std_logic;
- signal XLXI_111_Blinking_openSignal : std_logic_vector (3 downto 0);
- component bcddecoder
- port ( Clk : in std_logic;
- Digit0 : in std_logic_vector (3 downto 0);
- Digit1 : in std_logic_vector (3 downto 0);
- Digit2 : in std_logic_vector (3 downto 0);
- Digit3 : in std_logic_vector (3 downto 0);
- DigitSelect : out std_logic_vector (3 downto 0);
- Segments : out std_logic_vector (7 downto 0));
- end component;
- component Clockwork_MUSER_DCF77
- port ( in1Hz : in std_logic;
- tensSecond : out std_logic_vector (3 downto 0);
- onesSecond : out std_logic_vector (3 downto 0);
- tensMinute : out std_logic_vector (3 downto 0);
- onesMinute : out std_logic_vector (3 downto 0);
- onesDay : out std_logic_vector (3 downto 0);
- tensDay : out std_logic_vector (3 downto 0);
- onesHour : out std_logic_vector (3 downto 0);
- tensHour : out std_logic_vector (3 downto 0);
- onesMonth : out std_logic_vector (3 downto 0);
- tensMonth : out std_logic_vector (3 downto 0);
- onesYear : out std_logic_vector (3 downto 0);
- tensYear : out std_logic_vector (3 downto 0);
- hundredsYear : out std_logic_vector (3 downto 0);
- thousandsYear : out std_logic_vector (3 downto 0);
- Clck : in std_logic);
- end component;
- component divClock1Hz
- port ( Clock : in std_logic;
- out1Hz : out std_logic);
- end component;
- component ValueMuxer
- port ( Date_Time : in std_logic;
- Second_Year : in std_logic;
- onesSecond : in std_logic_vector (3 downto 0);
- tensSecond : in std_logic_vector (3 downto 0);
- onesMinute : in std_logic_vector (3 downto 0);
- tensMinute : in std_logic_vector (3 downto 0);
- onesHour : in std_logic_vector (3 downto 0);
- tensHour : in std_logic_vector (3 downto 0);
- onesDay : in std_logic_vector (3 downto 0);
- tensDay : in std_logic_vector (3 downto 0);
- onesMonth : in std_logic_vector (3 downto 0);
- tensMonth : in std_logic_vector (3 downto 0);
- tensYear : in std_logic_vector (3 downto 0);
- hundredsYear : in std_logic_vector (3 downto 0);
- thousandsYear : in std_logic_vector (3 downto 0);
- Blinking : in std_logic_vector (3 downto 0);
- Digit0 : out std_logic_vector (3 downto 0);
- Digit1 : out std_logic_vector (3 downto 0);
- Digit2 : out std_logic_vector (3 downto 0);
- Digit3 : out std_logic_vector (3 downto 0);
- Dots : out std_logic_vector (3 downto 0);
- onesYear : in std_logic_vector (3 downto 0));
- end component;
- begin
- XLXI_5 : bcddecoder
- port map (Clk=>Clock,
- Digit0(3 downto 0)=>XLXN_163(3 downto 0),
- Digit1(3 downto 0)=>XLXN_164(3 downto 0),
- Digit2(3 downto 0)=>XLXN_165(3 downto 0),
- Digit3(3 downto 0)=>XLXN_166(3 downto 0),
- DigitSelect(3 downto 0)=>AN(3 downto 0),
- Segments(7 downto 0)=>LEDs(7 downto 0));
- XLXI_103 : Clockwork_MUSER_DCF77
- port map (Clck=>XLXN_193,
- in1Hz=>Clock,
- hundredsYear(3 downto 0)=>XLXN_189(3 downto 0),
- onesDay(3 downto 0)=>XLXN_173(3 downto 0),
- onesHour(3 downto 0)=>XLXN_171(3 downto 0),
- onesMinute(3 downto 0)=>XLXN_169(3 downto 0),
- onesMonth(3 downto 0)=>XLXN_177(3 downto 0),
- onesSecond(3 downto 0)=>XLXN_167(3 downto 0),
- onesYear(3 downto 0)=>XLXN_187(3 downto 0),
- tensDay(3 downto 0)=>XLXN_174(3 downto 0),
- tensHour(3 downto 0)=>XLXN_172(3 downto 0),
- tensMinute(3 downto 0)=>XLXN_170(3 downto 0),
- tensMonth(3 downto 0)=>XLXN_178(3 downto 0),
- tensSecond(3 downto 0)=>XLXN_168(3 downto 0),
- tensYear(3 downto 0)=>XLXN_188(3 downto 0),
- thousandsYear(3 downto 0)=>XLXN_190(3 downto 0));
- XLXI_104 : divClock1Hz
- port map (Clock=>Clock,
- out1Hz=>XLXN_193);
- XLXI_111 : ValueMuxer
- port map (Blinking(3 downto 0)=>XLXI_111_Blinking_openSignal(3 downto 0),
- Date_Time=>SW0,
- hundredsYear(3 downto 0)=>XLXN_189(3 downto 0),
- onesDay(3 downto 0)=>XLXN_173(3 downto 0),
- onesHour(3 downto 0)=>XLXN_171(3 downto 0),
- onesMinute(3 downto 0)=>XLXN_169(3 downto 0),
- onesMonth(3 downto 0)=>XLXN_177(3 downto 0),
- onesSecond(3 downto 0)=>XLXN_167(3 downto 0),
- onesYear(3 downto 0)=>XLXN_187(3 downto 0),
- Second_Year=>BTN3,
- tensDay(3 downto 0)=>XLXN_174(3 downto 0),
- tensHour(3 downto 0)=>XLXN_172(3 downto 0),
- tensMinute(3 downto 0)=>XLXN_170(3 downto 0),
- tensMonth(3 downto 0)=>XLXN_178(3 downto 0),
- tensSecond(3 downto 0)=>XLXN_168(3 downto 0),
- tensYear(3 downto 0)=>XLXN_188(3 downto 0),
- thousandsYear(3 downto 0)=>XLXN_190(3 downto 0),
- Digit0(3 downto 0)=>XLXN_163(3 downto 0),
- Digit1(3 downto 0)=>XLXN_164(3 downto 0),
- Digit2(3 downto 0)=>XLXN_165(3 downto 0),
- Digit3(3 downto 0)=>XLXN_166(3 downto 0),
- Dots=>open);
- end BEHAVIORAL;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement