Advertisement
Guest User

Untitled

a guest
Oct 6th, 2017
67
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.75 KB | None | 0 0
  1. entity ula_4b is
  2.     port
  3.     (
  4.         a, b    : in std_logic_vector(3 downto 0);
  5.         x,y,z   : in std_logic;
  6.         s       : out std_logic_vector(3 downto 0);
  7.         co      : out std_logic
  8.     );
  9. end ula_4b;
  10.  
  11. architecture estrutural of ula_4b is
  12.  
  13. signal ia, ib, ci   : std_logic_vector(3 downto 0);
  14.  
  15. component sc_4b_ula port(
  16.         x,y     : in std_logic_vector(3 downto 0);
  17.         ei      : in std_logic_vector(3 downto 0);
  18.         s       : out std_logic_vector(3 downto 0);
  19.         zs      : out std_logic);
  20. end component sc_4b_ula;
  21.  
  22. component ext port(
  23.         a, b        : in std_logic_vector(3 downto 0);
  24.         x,y,z       : in std_logic;
  25.         ia,ib,cin   : out std_logic_vector(3 downto 0));
  26. end component ext;
  27.  
  28. begin
  29.     extensor: ext port map ( a, b, x, y, z , ia, ib, ci);
  30.     scu: sc_4b_ula port map ( ia, ib, ci, s, co);
  31. end estrutural;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement