Advertisement
Guest User

test2

a guest
Nov 30th, 2018
97
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.78 KB | None | 0 0
  1. library IEEE; use IEEE.STD_LOGIC_1164.all;
  2. entity seven_seg_decoder is
  3.  port(data: in STD_LOGIC_VECTOR(7 downto 0);
  4.  segments: out STD_LOGIC_VECTOR(9 downto 0));
  5. end;
  6. architecture synth of seven_seg_decoder is
  7. begin
  8.  process(all) begin
  9.  case data is
  10.  when"00000001" => segments <= "1000000000",
  11.  when"00000010" => segments <= "0100000000",
  12.  when"00000011" => segments <= "0010000000",
  13.  when"00000100" => segments <= "0001000000",
  14.  when"00000101" => segments <= "0000100000",
  15.  when"00000110" => segments <= "0000010000",
  16.  when"00000111" => segments <= "0000001000",
  17.  when"00001000" => segments <= "0000000100",
  18.  when"00001001" => segments <= "0000000010",
  19.  when"00001010" => segments <= "0000000001",
  20.  when others => segments <= "0000000000";
  21.  end case;
  22.  end process;
  23. end;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement