Advertisement
Guest User

Untitled

a guest
Aug 17th, 2018
78
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.45 KB | None | 0 0
  1. // SISTEMAS DIGITAIS - UFRN (17/08/18)
  2. // SOMADOR DE 2 BITS
  3.  
  4. library ieee;
  5. use ieee.std_logic_1164.all;
  6.  
  7. entity soma2 is
  8. port(
  9.     X0, X1: in std_logic;
  10.     Y0, Y1: in std_logic;
  11.     C0, X2, Y2: out std_logic);
  12. end soma2;
  13.  
  14. architecture archSoma2 of soma2 is
  15. signal Ci   :   std_logic;
  16. begin
  17.     Ci <= Y0 and Y1;
  18.     Y2 <= Y0 xor Y1;
  19.     X2 <= (not (X0) and (X1 xor Ci)) or ((X0) and (X1 xnor Ci));
  20.     C0 <= (X1 and Ci) or (X0 and (X1 xor Ci));
  21.  
  22. end archSoma2;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement