Advertisement
Guest User

Untitled

a guest
Oct 16th, 2018
69
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.57 KB | None | 0 0
  1. module numarator1
  2. (input clk, rst,
  3. output [3:0] q,sec;
  4. reg [31:0] q_nxt, q_reg,flag_reg;
  5.  
  6. assign q = q_reg;
  7. assign flag=flag_reg;
  8. //always block for sequential part
  9. always @( posedge clk or posedge rst)
  10. begin
  11. if (rst)
  12. q_reg <= 0;
  13. else
  14. q_reg <= q_nxt;
  15.  
  16. end
  17.  
  18. always @(*)
  19. begin
  20. sec= 0;
  21. q_nxt = q_reg + 1;
  22. if(q_reg == 50)
  23. begin
  24. sec = 1;
  25. q_nxt = 0;
  26. end
  27.  
  28. if(rst)
  29. flag_reg<=0;
  30. else
  31. if(sec==1)
  32. flag_reg <= ~flag_reg;
  33. end
  34. end
  35.  
  36. end
  37.  
  38.  
  39. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement