Advertisement
Guest User

Untitled

a guest
Jun 28th, 2018
74
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.40 KB | None | 0 0
  1. library IEEE;
  2. use IEEE.STD_LOGIC_1164.all;
  3. --------------------------------------------------
  4. entity vand5 is
  5.     port (i1 : in  std_logic;
  6.             i2 : in  std_logic;
  7.             i3 : in  std_logic;
  8.             i4 : in  std_logic;
  9.             i5 : in  std_logic;        
  10.             o : out  std_logic);
  11. end vand5;
  12. --------------------------------------------------
  13. architecture df1 of vand5 is
  14. begin
  15.     o <= i1 and i2 and i3 and i4 and i5;
  16. end df1;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement