Advertisement
Guest User

Untitled

a guest
Jun 19th, 2018
71
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 1.32 KB | None | 0 0
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3.  
  4. entity TimesTen is
  5. port(
  6. X:in std_logic_vector(5 downto 0);
  7. TenX:out std_logic_vector(9 downto 0));
  8. end entity TimesTen;
  9.  
  10. Architecture behaviour of TimesTen is
  11.  
  12. signal a,b,Cout:std_logic_vector(9 downto 0);
  13. component fulladder
  14. port(A,B,Cin:in std_logic;
  15. S,C:out std_logic);
  16. end component;
  17. begin
  18. a(9 downto 7)<= "000";
  19. a(6 downto 1)<=X(5 downto 0);
  20. a(0)<= '0';
  21. b(9)<= '0';
  22. b(8 downto 3)<= X(5 downto 0);
  23. b(2 downto 0)<= "000";
  24. FA1:fulladder port map(A=>a(0), B=>b(0), Cin=> '0', S=>TenX(0), C=>Cout(0));
  25. FA2:fulladder port map(A=>a(1), B=>b(1), Cin=> Cout(0), S=>TenX(1), C=>Cout(1));
  26. FA3:fulladder port map(A=>a(2), B=>b(2), Cin=> Cout(1), S=>TenX(2), C=>Cout(2));
  27. FA4:fulladder port map(A=>a(3), B=>b(3), Cin=> Cout(2), S=>TenX(3), C=>Cout(3));
  28. FA5:fulladder port map(A=>a(4), B=>b(4), Cin=> Cout(3), S=>TenX(4), C=>Cout(4));
  29. FA6:fulladder port map(A=>a(5), B=>b(5), Cin=> Cout(4), S=>TenX(5), C=>Cout(5));
  30. FA7:fulladder port map(A=>a(6), B=>b(6), Cin=> Cout(5), S=>TenX(6), C=>Cout(6));
  31. FA8:fulladder port map(A=>a(7), B=>b(7), Cin=> Cout(6), S=>TenX(7), C=>Cout(7));
  32. FA9:fulladder port map(A=>a(8), B=>b(8), Cin=> Cout(7), S=>TenX(8), C=>Cout(8));
  33. FA10:fulladder port map(A=>a(9), B=>b(9), Cin=> Cout(8), S=>TenX(9), C=>Cout(9));
  34.  
  35.  
  36. end architecture behaviour;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement