Advertisement
Guest User

Untitled

a guest
Dec 20th, 2018
73
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. `timescale 1 ps/ 1 ps
  2. module dd_vlg_tst();
  3. // constants                                          
  4. // general purpose registers
  5. // test vector input registers
  6. reg clk;
  7. reg [7:0] in;
  8. reg reset;
  9. // wires                                              
  10. wire [11:0]  bcd;
  11. integer i = 0;
  12.  
  13. // assign statements (if any)                          
  14. dd i1 (
  15. // port map - connection between master ports and signals/registers  
  16.     .bcd(bcd),
  17.     .clk(clk),
  18.     .in(in),
  19.     .reset(reset)
  20. );
  21. initial                                                
  22. begin    
  23.   #0 reset = 0;                          
  24.   #0 clk = 0;
  25.   #2 reset = 1;
  26.  
  27.   for(i = 0; i < 256; i=i+1)
  28.   begin
  29.       #10 in = i;
  30.       #5 reset = 0;
  31.       #15 reset = 1;
  32.       $display("t=%3d ocekivano: %d, izlaz:%d%d%d \n",$time,i,bcd[11:8], bcd[7:4], bcd[3:0]);
  33.   end                  
  34.   $stop;
  35. end      
  36.                                              
  37. always                                                
  38. begin                                                  
  39.   #2 clk = ~clk;                
  40. end                                                    
  41. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement