Advertisement
gunigma

kolos_wyswietlacz_7_segmentowy

Jan 7th, 2019
111
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.56 KB | None | 0 0
  1. entity program is
  2.     PORT(
  3.         DATA_D : in std_logic_vector(7 downto 0);
  4.         CLK_WR : in std_logic;
  5.         W0 : out std_logic_vector(6 downto 0);
  6.         W1 : out std_logic_vector(6 downto 0);)
  7. end entity
  8.  
  9. -- w naszym FPGA dody świecą się 0!
  10.  
  11. architecture Behavioral of program is
  12.     signal BUF : std_logic_vector
  13.     signal display_0 : std_logic_vector(6 downto 0);
  14.     signal display_1 : std_logic_vector(6 downto 0);
  15.  
  16.  
  17.     READ : process(CLK_WR)
  18.             variable counter : integer := 0;
  19.         begin
  20.             if falling_edge(CLK_WR) then
  21.                 BUF <= DATA_D
  22.            
  23.             end if
  24.  
  25. end architecture
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement