Advertisement
Guest User

Untitled

a guest
May 23rd, 2019
84
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.68 KB | None | 0 0
  1. module displayer(
  2. input [3:0] number,
  3. output reg [0:6] H);
  4. always @(number)
  5. case (number)
  6. 0: H = 7'b0000001;
  7. 1: H = 7'b1001111;
  8. 2: H = 7'b0010010;
  9. 3: H = 7'b0000110;
  10. 4: H = 7'b1001100;
  11. 5: H = 7'b0100100;
  12. 6: H = 7'b0100000;
  13. 7: H = 7'b0001111;
  14. 8: H = 7'b0000000;
  15. 9: H = 7'b0000100;
  16. 10: H = 7'b0001000;
  17. 11: H = 7'b1100000;
  18. 12: H = 7'b0110001;
  19. 13: H = 7'b1000010;
  20. 14: H = 7'b0110000;
  21. 15: H = 7'b0111000;
  22. default: H = 7'b1111111;
  23. endcase
  24. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement