Advertisement
Guest User

Untitled

a guest
Oct 22nd, 2019
128
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 13.28 KB | None | 0 0
  1. ## This file is a general .xdc for the Basys3 rev B board
  2. ## To use it in a project:
  3. ## - uncomment the lines corresponding to used pins
  4. ## - rename the used ports (in each line, after get_ports) according to the top level signal names in the project
  5.  
  6. ## Clock signal
  7. #set_property PACKAGE_PIN W5 [get_ports clk]
  8. #set_property IOSTANDARD LVCMOS33 [get_ports clk]
  9. #create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports clk]
  10.  
  11. ## player_Xitches RIGHT TO LEFT
  12. set_property PACKAGE_PIN V17 [get_ports {player_X[0]}]
  13. set_property IOSTANDARD LVCMOS33 [get_ports {player_X[0]}]
  14. set_property PACKAGE_PIN V16 [get_ports {player_X[1]}]
  15. set_property IOSTANDARD LVCMOS33 [get_ports {player_X[1]}]
  16. set_property PACKAGE_PIN W16 [get_ports {player_X[2]}]
  17. set_property IOSTANDARD LVCMOS33 [get_ports {player_X[2]}]
  18. set_property PACKAGE_PIN W17 [get_ports {player_X[3]}]
  19. set_property IOSTANDARD LVCMOS33 [get_ports {player_X[3]}]
  20. set_property PACKAGE_PIN W15 [get_ports {player_X[4]}]
  21. set_property IOSTANDARD LVCMOS33 [get_ports {player_X[4]}]
  22. set_property PACKAGE_PIN V15 [get_ports {player_X[5]}]
  23. set_property IOSTANDARD LVCMOS33 [get_ports {player_X[5]}]
  24. set_property PACKAGE_PIN W14 [get_ports {player_X[6]}]
  25. set_property IOSTANDARD LVCMOS33 [get_ports {player_X[6]}]
  26. set_property PACKAGE_PIN W13 [get_ports {player_X[7]}]
  27. set_property IOSTANDARD LVCMOS33 [get_ports {player_X[7]}]
  28. set_property PACKAGE_PIN V2 [get_ports {player_X[8]}]
  29. set_property IOSTANDARD LVCMOS33 [get_ports {player_X[8]}]
  30. #set_property PACKAGE_PIN T3 [get_ports {player_X[9]}]
  31. #set_property IOSTANDARD LVCMOS33 [get_ports {player_X[9]}]
  32. #set_property PACKAGE_PIN T2 [get_ports {player_X[10]}]
  33. #set_property IOSTANDARD LVCMOS33 [get_ports {player_X[10]}]
  34. #set_property PACKAGE_PIN R3 [get_ports {player_X[11]}]
  35. #set_property IOSTANDARD LVCMOS33 [get_ports {player_X[11]}]
  36. #set_property PACKAGE_PIN W2 [get_ports {player_X[12]}]
  37. #set_property IOSTANDARD LVCMOS33 [get_ports {player_X[12]}]
  38. #set_property PACKAGE_PIN U1 [get_ports {player_X[13]}]
  39. #set_property IOSTANDARD LVCMOS33 [get_ports {player_X[13]}]
  40. #set_property PACKAGE_PIN T1 [get_ports {player_X[14]}]
  41. #set_property IOSTANDARD LVCMOS33 [get_ports {player_X[14]}]
  42. #set_property PACKAGE_PIN R2 [get_ports {player_X[15]}]
  43. #set_property IOSTANDARD LVCMOS33 [get_ports {player_X[15]}]
  44.  
  45.  
  46.  
  47. ## LEDs
  48. set_property PACKAGE_PIN U16 [get_ports {empty[0]}]
  49. set_property IOSTANDARD LVCMOS33 [get_ports {empty[0]}]
  50. set_property PACKAGE_PIN E19 [get_ports {empty[1]}]
  51. set_property IOSTANDARD LVCMOS33 [get_ports {empty[1]}]
  52. set_property PACKAGE_PIN U19 [get_ports {empty[2]}]
  53. set_property IOSTANDARD LVCMOS33 [get_ports {empty[2]}]
  54. set_property PACKAGE_PIN V19 [get_ports {empty[3]}]
  55. set_property IOSTANDARD LVCMOS33 [get_ports {empty[3]}]
  56. set_property PACKAGE_PIN W18 [get_ports {empty[4]}]
  57. set_property IOSTANDARD LVCMOS33 [get_ports {empty[4]}]
  58. set_property PACKAGE_PIN U15 [get_ports {empty[5]}]
  59. set_property IOSTANDARD LVCMOS33 [get_ports {empty[5]}]
  60. set_property PACKAGE_PIN U14 [get_ports {empty[6]}]
  61. set_property IOSTANDARD LVCMOS33 [get_ports {empty[6]}]
  62. set_property PACKAGE_PIN V14 [get_ports {empty[7]}]
  63. set_property IOSTANDARD LVCMOS33 [get_ports {empty[7]}]
  64. set_property PACKAGE_PIN V13 [get_ports {empty[8]}]
  65. set_property IOSTANDARD LVCMOS33 [get_ports {empty[8]}]
  66. #set_property PACKAGE_PIN V3 [get_ports {led[9]}]
  67. #set_property IOSTANDARD LVCMOS33 [get_ports {led[9]}]
  68. #set_property PACKAGE_PIN W3 [get_ports {led[10]}]
  69. #set_property IOSTANDARD LVCMOS33 [get_ports {led[10]}]
  70. #set_property PACKAGE_PIN U3 [get_ports {led[11]}]
  71. #set_property IOSTANDARD LVCMOS33 [get_ports {led[11]}]
  72. #set_property PACKAGE_PIN P3 [get_ports {led[12]}]
  73. #set_property IOSTANDARD LVCMOS33 [get_ports {led[12]}]
  74. #set_property PACKAGE_PIN N3 [get_ports {led[13]}]
  75. #set_property IOSTANDARD LVCMOS33 [get_ports {led[13]}]
  76. set_property PACKAGE_PIN P1 [get_ports {winner[1]}]
  77. set_property IOSTANDARD LVCMOS33 [get_ports {winner[1]}]
  78. set_property PACKAGE_PIN L1 [get_ports {winner[0]}]
  79. set_property IOSTANDARD LVCMOS33 [get_ports {winner[0]}]
  80.  
  81.  
  82. ##7 segment display
  83. #set_property PACKAGE_PIN W7 [get_ports {seg[0]}]
  84. #set_property IOSTANDARD LVCMOS33 [get_ports {seg[0]}]
  85. #set_property PACKAGE_PIN W6 [get_ports {seg[1]}]
  86. #set_property IOSTANDARD LVCMOS33 [get_ports {seg[1]}]
  87. #set_property PACKAGE_PIN U8 [get_ports {seg[2]}]
  88. #set_property IOSTANDARD LVCMOS33 [get_ports {seg[2]}]
  89. #set_property PACKAGE_PIN V8 [get_ports {seg[3]}]
  90. #set_property IOSTANDARD LVCMOS33 [get_ports {seg[3]}]
  91. #set_property PACKAGE_PIN U5 [get_ports {seg[4]}]
  92. #set_property IOSTANDARD LVCMOS33 [get_ports {seg[4]}]
  93. #set_property PACKAGE_PIN V5 [get_ports {seg[5]}]
  94. #set_property IOSTANDARD LVCMOS33 [get_ports {seg[5]}]
  95. #set_property PACKAGE_PIN U7 [get_ports {seg[6]}]
  96. #set_property IOSTANDARD LVCMOS33 [get_ports {seg[6]}]
  97.  
  98. #set_property PACKAGE_PIN V7 [get_ports dp]
  99. #set_property IOSTANDARD LVCMOS33 [get_ports dp]
  100.  
  101. #set_property PACKAGE_PIN U2 [get_ports {an[0]}]
  102. #set_property IOSTANDARD LVCMOS33 [get_ports {an[0]}]
  103. #set_property PACKAGE_PIN U4 [get_ports {an[1]}]
  104. #set_property IOSTANDARD LVCMOS33 [get_ports {an[1]}]
  105. #set_property PACKAGE_PIN V4 [get_ports {an[2]}]
  106. #set_property IOSTANDARD LVCMOS33 [get_ports {an[2]}]
  107. #set_property PACKAGE_PIN W4 [get_ports {an[3]}]
  108. #set_property IOSTANDARD LVCMOS33 [get_ports {an[3]}]
  109.  
  110.  
  111. ##Buttons
  112. #set_property PACKAGE_PIN U18 [get_ports reset]
  113. #set_property IOSTANDARD LVCMOS33 [get_ports reset]
  114. #set_property PACKAGE_PIN T18 [get_ports Button_win]
  115. #set_property IOSTANDARD LVCMOS33 [get_ports Button_win]
  116. set_property PACKAGE_PIN W19 [get_ports Button_X]
  117. set_property IOSTANDARD LVCMOS33 [get_ports Button_X]
  118. set_property PACKAGE_PIN T17 [get_ports Button_O]
  119. set_property IOSTANDARD LVCMOS33 [get_ports Button_O]
  120. set_property PACKAGE_PIN U17 [get_ports Button_display]
  121. set_property IOSTANDARD LVCMOS33 [get_ports Button_display]
  122.  
  123.  
  124.  
  125. ##Pmod Header JA
  126. ##Sch name = JA1
  127. #set_property PACKAGE_PIN J1 [get_ports {JA[0]}]
  128. #set_property IOSTANDARD LVCMOS33 [get_ports {JA[0]}]
  129. ##Sch name = JA2
  130. #set_property PACKAGE_PIN L2 [get_ports {JA[1]}]
  131. #set_property IOSTANDARD LVCMOS33 [get_ports {JA[1]}]
  132. ##Sch name = JA3
  133. #set_property PACKAGE_PIN J2 [get_ports {JA[2]}]
  134. #set_property IOSTANDARD LVCMOS33 [get_ports {JA[2]}]
  135. ##Sch name = JA4
  136. #set_property PACKAGE_PIN G2 [get_ports {JA[3]}]
  137. #set_property IOSTANDARD LVCMOS33 [get_ports {JA[3]}]
  138. ##Sch name = JA7
  139. #set_property PACKAGE_PIN H1 [get_ports {JA[4]}]
  140. #set_property IOSTANDARD LVCMOS33 [get_ports {JA[4]}]
  141. ##Sch name = JA8
  142. #set_property PACKAGE_PIN K2 [get_ports {JA[5]}]
  143. #set_property IOSTANDARD LVCMOS33 [get_ports {JA[5]}]
  144. ##Sch name = JA9
  145. #set_property PACKAGE_PIN H2 [get_ports {JA[6]}]
  146. #set_property IOSTANDARD LVCMOS33 [get_ports {JA[6]}]
  147. ##Sch name = JA10
  148. #set_property PACKAGE_PIN G3 [get_ports {JA[7]}]
  149. #set_property IOSTANDARD LVCMOS33 [get_ports {JA[7]}]
  150.  
  151.  
  152.  
  153. ##Pmod Header JB
  154. ##Sch name = JB1
  155. #set_property PACKAGE_PIN A14 [get_ports {JB[0]}]
  156. #set_property IOSTANDARD LVCMOS33 [get_ports {JB[0]}]
  157. ##Sch name = JB2
  158. #set_property PACKAGE_PIN A16 [get_ports {JB[1]}]
  159. #set_property IOSTANDARD LVCMOS33 [get_ports {JB[1]}]
  160. ##Sch name = JB3
  161. #set_property PACKAGE_PIN B15 [get_ports {JB[2]}]
  162. #set_property IOSTANDARD LVCMOS33 [get_ports {JB[2]}]
  163. ##Sch name = JB4
  164. #set_property PACKAGE_PIN B16 [get_ports {JB[3]}]
  165. #set_property IOSTANDARD LVCMOS33 [get_ports {JB[3]}]
  166. ##Sch name = JB7
  167. #set_property PACKAGE_PIN A15 [get_ports {JB[4]}]
  168. #set_property IOSTANDARD LVCMOS33 [get_ports {JB[4]}]
  169. ##Sch name = JB8
  170. #set_property PACKAGE_PIN A17 [get_ports {JB[5]}]
  171. #set_property IOSTANDARD LVCMOS33 [get_ports {JB[5]}]
  172. ##Sch name = JB9
  173. #set_property PACKAGE_PIN C15 [get_ports {JB[6]}]
  174. #set_property IOSTANDARD LVCMOS33 [get_ports {JB[6]}]
  175. ##Sch name = JB10
  176. #set_property PACKAGE_PIN C16 [get_ports {JB[7]}]
  177. #set_property IOSTANDARD LVCMOS33 [get_ports {JB[7]}]
  178.  
  179.  
  180.  
  181. ##Pmod Header JC
  182. ##Sch name = JC1
  183. #set_property PACKAGE_PIN K17 [get_ports {JC[0]}]
  184. #set_property IOSTANDARD LVCMOS33 [get_ports {JC[0]}]
  185. ##Sch name = JC2
  186. #set_property PACKAGE_PIN M18 [get_ports {JC[1]}]
  187. #set_property IOSTANDARD LVCMOS33 [get_ports {JC[1]}]
  188. ##Sch name = JC3
  189. #set_property PACKAGE_PIN N17 [get_ports {JC[2]}]
  190. #set_property IOSTANDARD LVCMOS33 [get_ports {JC[2]}]
  191. ##Sch name = JC4
  192. #set_property PACKAGE_PIN P18 [get_ports {JC[3]}]
  193. #set_property IOSTANDARD LVCMOS33 [get_ports {JC[3]}]
  194. ##Sch name = JC7
  195. #set_property PACKAGE_PIN L17 [get_ports {JC[4]}]
  196. #set_property IOSTANDARD LVCMOS33 [get_ports {JC[4]}]
  197. ##Sch name = JC8
  198. #set_property PACKAGE_PIN M19 [get_ports {JC[5]}]
  199. #set_property IOSTANDARD LVCMOS33 [get_ports {JC[5]}]
  200. ##Sch name = JC9
  201. #set_property PACKAGE_PIN P17 [get_ports {JC[6]}]
  202. #set_property IOSTANDARD LVCMOS33 [get_ports {JC[6]}]
  203. ##Sch name = JC10
  204. #set_property PACKAGE_PIN R18 [get_ports {JC[7]}]
  205. #set_property IOSTANDARD LVCMOS33 [get_ports {JC[7]}]
  206.  
  207.  
  208. ##Pmod Header JXADC
  209. ##Sch name = XA1_P
  210. #set_property PACKAGE_PIN J3 [get_ports {JXADC[0]}]
  211. #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[0]}]
  212. ##Sch name = XA2_P
  213. #set_property PACKAGE_PIN L3 [get_ports {JXADC[1]}]
  214. #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[1]}]
  215. ##Sch name = XA3_P
  216. #set_property PACKAGE_PIN M2 [get_ports {JXADC[2]}]
  217. #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[2]}]
  218. ##Sch name = XA4_P
  219. #set_property PACKAGE_PIN N2 [get_ports {JXADC[3]}]
  220. #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[3]}]
  221. ##Sch name = XA1_N
  222. #set_property PACKAGE_PIN K3 [get_ports {JXADC[4]}]
  223. #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[4]}]
  224. ##Sch name = XA2_N
  225. #set_property PACKAGE_PIN M3 [get_ports {JXADC[5]}]
  226. #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[5]}]
  227. ##Sch name = XA3_N
  228. #set_property PACKAGE_PIN M1 [get_ports {JXADC[6]}]
  229. #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[6]}]
  230. ##Sch name = XA4_N
  231. #set_property PACKAGE_PIN N1 [get_ports {JXADC[7]}]
  232. #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC[7]}]
  233.  
  234.  
  235.  
  236. ##VGA Connector
  237. #set_property PACKAGE_PIN G19 [get_ports {vgaRed[0]}]
  238. #set_property IOSTANDARD LVCMOS33 [get_ports {vgaRed[0]}]
  239. #set_property PACKAGE_PIN H19 [get_ports {vgaRed[1]}]
  240. #set_property IOSTANDARD LVCMOS33 [get_ports {vgaRed[1]}]
  241. #set_property PACKAGE_PIN J19 [get_ports {vgaRed[2]}]
  242. #set_property IOSTANDARD LVCMOS33 [get_ports {vgaRed[2]}]
  243. #set_property PACKAGE_PIN N19 [get_ports {vgaRed[3]}]
  244. #set_property IOSTANDARD LVCMOS33 [get_ports {vgaRed[3]}]
  245. #set_property PACKAGE_PIN N18 [get_ports {vgaBlue[0]}]
  246. #set_property IOSTANDARD LVCMOS33 [get_ports {vgaBlue[0]}]
  247. #set_property PACKAGE_PIN L18 [get_ports {vgaBlue[1]}]
  248. #set_property IOSTANDARD LVCMOS33 [get_ports {vgaBlue[1]}]
  249. #set_property PACKAGE_PIN K18 [get_ports {vgaBlue[2]}]
  250. #set_property IOSTANDARD LVCMOS33 [get_ports {vgaBlue[2]}]
  251. #set_property PACKAGE_PIN J18 [get_ports {vgaBlue[3]}]
  252. #set_property IOSTANDARD LVCMOS33 [get_ports {vgaBlue[3]}]
  253. #set_property PACKAGE_PIN J17 [get_ports {vgaGreen[0]}]
  254. #set_property IOSTANDARD LVCMOS33 [get_ports {vgaGreen[0]}]
  255. #set_property PACKAGE_PIN H17 [get_ports {vgaGreen[1]}]
  256. #set_property IOSTANDARD LVCMOS33 [get_ports {vgaGreen[1]}]
  257. #set_property PACKAGE_PIN G17 [get_ports {vgaGreen[2]}]
  258. #set_property IOSTANDARD LVCMOS33 [get_ports {vgaGreen[2]}]
  259. #set_property PACKAGE_PIN D17 [get_ports {vgaGreen[3]}]
  260. #set_property IOSTANDARD LVCMOS33 [get_ports {vgaGreen[3]}]
  261. #set_property PACKAGE_PIN P19 [get_ports Hsync]
  262. #set_property IOSTANDARD LVCMOS33 [get_ports Hsync]
  263. #set_property PACKAGE_PIN R19 [get_ports Vsync]
  264. #set_property IOSTANDARD LVCMOS33 [get_ports Vsync]
  265.  
  266.  
  267. ##USB-RS232 Interface
  268. #set_property PACKAGE_PIN B18 [get_ports RsRx]
  269. #set_property IOSTANDARD LVCMOS33 [get_ports RsRx]
  270. #set_property PACKAGE_PIN A18 [get_ports RsTx]
  271. #set_property IOSTANDARD LVCMOS33 [get_ports RsTx]
  272.  
  273.  
  274. ##USB HID (PS/2)
  275. #set_property PACKAGE_PIN C17 [get_ports PS2Clk]
  276. #set_property IOSTANDARD LVCMOS33 [get_ports PS2Clk]
  277. #set_property PULLUP true [get_ports PS2Clk]
  278. #set_property PACKAGE_PIN B17 [get_ports PS2Data]
  279. #set_property IOSTANDARD LVCMOS33 [get_ports PS2Data]
  280. #set_property PULLUP true [get_ports PS2Data]
  281.  
  282.  
  283. ##Quad SPI Flash
  284. ##Note that CCLK_0 cannot be placed in 7 series devices. You can access it using the
  285. ##STARTUPE2 primitive.
  286. #set_property PACKAGE_PIN D18 [get_ports {QspiDB[0]}]
  287. #set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB[0]}]
  288. #set_property PACKAGE_PIN D19 [get_ports {QspiDB[1]}]
  289. #set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB[1]}]
  290. #set_property PACKAGE_PIN G18 [get_ports {QspiDB[2]}]
  291. #set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB[2]}]
  292. #set_property PACKAGE_PIN F18 [get_ports {QspiDB[3]}]
  293. #set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB[3]}]
  294. #set_property PACKAGE_PIN K19 [get_ports QspiCSn]
  295. #set_property IOSTANDARD LVCMOS33 [get_ports QspiCSn]
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement