Advertisement
Guest User

Untitled

a guest
Jun 13th, 2018
69
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.10 KB | None | 0 0
  1.     type ByteIndex is (B0,B1,B2,B3);
  2.     TYPE LaneType IS ARRAY(ByteIndex) OF std_logic_vector(7 downto 0);
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement