Advertisement
Guest User

Untitled

a guest
Oct 19th, 2018
82
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.96 KB | None | 0 0
  1. module DFF(output reg Q, input D, CLK);
  2. always @ (posedge CLK)
  3. Q <= D;
  4. endmodule
  5.  
  6. module testbench();
  7. reg x;
  8. reg y;
  9. reg Da;
  10. wire Qa;
  11. reg Db;
  12. wire Qb;
  13. reg CLK;
  14.  
  15. DFF ffa(Qa, Da, CLK);
  16. DFF ffb(Qb, Db, CLK);
  17.  
  18. initial begin
  19. forever
  20. begin
  21. #1 CLK = 0 ;x=0;y=0;Da = ((x & !y) | (x & Qb));Db = ((x & Qa) | (x & !Qb));
  22. #1 CLK = 1 ;$display("Qa|Qb|x|y|Qa(next)|Qb(next)|");
  23. #1 CLK = 0 ;x=1;y=0;Da = ((x & !y) | (x & Qb));Db = ((x & Qa) | (x & !Qb));
  24. #1 CLK = 1 ;$display("%2b|%2b|%b|%b|%8b|%8b|",Qa,Qb,x,y,Da,Db);
  25. #1 CLK = 0 ;x=1;y=1;Da = ((x & !y) | (x & Qb));Db = ((x & Qa) | (x & !Qb));
  26. #1 CLK = 1 ;$display("%2b|%2b|%b|%b|%8b|%8b|",Qa,Qb,x,y,Da,Db);
  27. #1 CLK = 0 ;x=0;y=1;Da = ((x & !y) | (x & Qb));Db = ((x & Qa) | (x & !Qb));
  28. #1 CLK = 1 ;$display("%2b|%2b|%b|%b|%8b|%8b|",Qa,Qb,x,y,Da,Db);
  29. #1 CLK = 0 ;x=0;y=1;Da = ((x & !y) | (x & Qb));Db = ((x & Qa) | (x & !Qb));
  30. #1 CLK = 1 ;$display("%2b|%2b|%b|%b|%8b|%8b|",Qa,Qb,x,y,Da,Db);
  31. $finish;
  32.  
  33. end
  34. end
  35.  
  36. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement