Advertisement
Guest User

Untitled

a guest
Jan 5th, 2019
246
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. `timescale 1ns / 1ps
  2.  
  3. module my_and (a, b, out);
  4.  
  5.     input a, b;
  6.     output out;
  7.    
  8.     wire tmp;
  9.  
  10.     supply0 gnd;
  11.     supply1 vcc;
  12.  
  13.   assign out = tmp;
  14.  
  15.   nmos nmos1 (nout1, vcc, a);
  16.   nmos nmos2 (tmp, nout1, b);
  17.  
  18.   pulldown pd1 (tmp);
  19.  
  20. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement