Advertisement
Guest User

Untitled

a guest
Sep 18th, 2018
67
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.90 KB | None | 0 0
  1. ## This file is a general .xdc for the Basys3 rev B board
  2. ## To use it in a project:
  3. ## - uncomment the lines corresponding to used pins
  4. ## - rename the used ports (in each line, after get_ports) according to the top level signal names in the project
  5.  
  6. # Clock signal
  7. set_property PACKAGE_PIN W5 [get_ports CLK100MHZ]
  8. set_property IOSTANDARD LVCMOS33 [get_ports CLK100MHZ]
  9. create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports CLK100MHZ]
  10.  
  11. # Switches
  12. set_property PACKAGE_PIN V17 [get_ports {i0}]
  13. set_property IOSTANDARD LVCMOS33 [get_ports {i0}]
  14. set_property PACKAGE_PIN V16 [get_ports {i1}]
  15. set_property IOSTANDARD LVCMOS33 [get_ports {i1}]
  16. set_property PACKAGE_PIN W16 [get_ports {sel}]
  17. set_property IOSTANDARD LVCMOS33 [get_ports {sel}]
  18.  
  19. # LEDs
  20. set_property PACKAGE_PIN U16 [get_ports {y}]
  21. set_property IOSTANDARD LVCMOS33 [get_ports {y}]
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement