Advertisement
Guest User

Untitled

a guest
Jun 12th, 2019
218
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
LLVM 1.78 KB | None | 0 0
  1. target datalayout = "e-p:64:64-p1:64:64-p2:32:32-p3:32:32-p4:64:64-p5:32:32-p6:32:32-i64:64-v16:16-v24:32-v32:32-v48:64-v96:128-v192:256-v256:256-v512:512-v1024:1024-v2048:2048-n32:64-S32-A5"
  2. declare void @llvm.amdgcn.s.barrier() #0
  3.  
  4. define void @__OpenCL_execFFT_reduced_kernel(<2 x float> addrspace(1)* noalias nocapture %in, <2 x float> addrspace(1)* noalias nocapture %out, <2 x float> addrspace(3)* nocapture %data0) #2 {
  5. entry:
  6.   %arrayidx = getelementptr <2 x float>, <2 x float> addrspace(3)* %data0, i32 %id
  7.   %arrayidx3 = getelementptr <2 x float>, <2 x float> addrspace(1)* %in, i32 %id
  8.   %tmp4 = load <2 x float>, <2 x float> addrspace(1)* %arrayidx3, align 8
  9.   store <2 x float> %tmp4, <2 x float> addrspace(3)* %arrayidx, align 8
  10.   tail call void @llvm.amdgcn.s.barrier() #0
  11.   %cmp = icmp ult i32 %id, 5
  12.   br i1 %cmp, label %if.then, label %if.end
  13.  
  14. if.then:                                          ; preds = %entry
  15.   %tmp10 = load <2 x float>, <2 x float> addrspace(3)* %arrayidx, align 8
  16.   %tmp16 = mul i32 %id, 2
  17.   %arrayidx17 = getelementptr <2 x float>, <2 x float> addrspace(3)* %data0, i32 %tmp16
  18.   store <2 x float> %tmp10, <2 x float> addrspace(3)* %arrayidx17, align 8
  19.   br label %if.end
  20.  
  21. if.end:                                           ; preds = %if.then, %entry
  22.   tail call void @llvm.amdgcn.s.barrier() #0
  23.   %arrayidx21 = getelementptr <2 x float>, <2 x float> addrspace(1)* %out, i32 %id
  24.   %tmp25 = load <2 x float>, <2 x float> addrspace(3)* %arrayidx, align 8
  25.   store <2 x float> %tmp25, <2 x float> addrspace(1)* %arrayidx21, align 8
  26.   ret void
  27. }
  28.  
  29. attributes #0 = { convergent nounwind }
  30. attributes #1 = { nounwind readnone speculatable }
  31. attributes #2 = { nounwind }
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement