Advertisement
Guest User

Untitled

a guest
Feb 20th, 2019
114
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module bstat
  2. /*********************************************************************************************/
  3. #(parameter bsize = 5, fw_max = 1024)
  4. /*********************************************************************************************/
  5. (
  6.     input           clk,
  7.     input           reset,
  8.     input           [3: 0] avs_s0_address,
  9.     input           [31: 0] avs_s0_writedata,
  10.     output          [31: 0] avs_s0_readdata,
  11.     input           avs_s0_write,
  12.     input           avs_s0_read,
  13.     output          [31: 0] avm_m0_address,
  14.     input           [31: 0] avm_m0_readdata,
  15.     output          avm_m0_read,
  16.     input           avm_m0_readdatavalid,
  17.     output          [10: 0] avm_m0_burstcount,
  18.     output          avm_m0_beginbursttransfer,
  19.     input           avm_m0_waitrequest,
  20.     output          [31: 0] axis_floatin_tdata,
  21.     output          axis_floatin_tvalid,
  22.     input           axis_floatin_tready,
  23.     input           [31: 0] axis_fixedin_tdata,
  24.     input           axis_fixedin_tvalid,
  25.     output          axis_fixedin_tready,
  26.     output          [31: 0] axis_fixedout_tdata,
  27.     output          axis_fixedout_tvalid,
  28.     input           axis_fixedout_tready,
  29.     input           [31: 0] axis_floatout_tdata,
  30.     input           axis_floatout_tvalid,
  31.     output          axis_floatout_tready,
  32.     output          [31: 0] avm_m1_address,
  33.     output          [31: 0] avm_m1_writedata,
  34.     output          avm_m1_write,
  35.     output          [10: 0] avm_m1_burstcount,
  36.     output          avm_m1_beginbursttransfer,
  37.     input           avm_m1_waitrequest
  38. );
  39. /*********************************************************************************************/
  40. /*********************************************************************************************/
  41. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement