Advertisement
Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- entity mazeRunner is
- port (
- clk : in std_logic;
- reset : in std_logic;
- nuMaze : in std_logic;
- lineColor : in std_logic_vector(2 downto 0);
- bgColor : in std_logic_vector(2 downto 0);
- xPos : in std_logic_vector(4 downto 0);
- yPos : in std_logic_vector(4 downto 0);
- -- VGA display signals
- hSync : out std_logic;
- vSync : out std_logic;
- dispVal : out st_logic_vector(2 downto 0)
- );
- end entity;
- architecture arch of mazeRunner is
- begin
- process (clk) begin
- if rising_edge(clk) then
- end if;
- end process;
- end arch;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement