Advertisement
Guest User

Untitled

a guest
Jun 25th, 2017
54
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.64 KB | None | 0 0
  1. entity mazeRunner is
  2.     port (
  3.         clk     : in std_logic;
  4.         reset   : in std_logic;
  5.         nuMaze  : in std_logic;
  6.         lineColor   : in std_logic_vector(2 downto 0);
  7.         bgColor     : in std_logic_vector(2 downto 0);
  8.         xPos    : in std_logic_vector(4 downto 0);
  9.         yPos    : in std_logic_vector(4 downto 0);
  10.         -- VGA display signals
  11.         hSync   : out std_logic;
  12.         vSync   : out std_logic;
  13.         dispVal : out st_logic_vector(2 downto 0)
  14.     );
  15. end entity;
  16.  
  17. architecture arch of mazeRunner is
  18.  
  19. begin
  20.     process (clk) begin
  21.         if rising_edge(clk) then
  22.            
  23.         end if;
  24.     end process;
  25. end arch;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement