Advertisement
Guest User

Untitled

a guest
Aug 27th, 2019
114
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module test();
  2.      logic [7:0] a;
  3.      logic [2:0] amt;
  4.      logic lr;
  5.      logic [7:0] y;
  6.  
  7.  barrel_shift b0(.*);
  8.  
  9.  initial begin
  10.          a = 8'b1101_0010; amt=3'b000; lr=0;
  11.      #10 amt=3'b001;lr=1;
  12.      #10 amt=3'b101;lr=1;
  13.      #10 amt=3'b010;lr=1;
  14.      #10 amt=3'b010;lr=0;
  15.      #10 amt=3'b101;lr=0;
  16.      #10 amt=3'b001;lr=0;
  17.  end
  18.  
  19.  endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement