Advertisement
Guest User

Untitled

a guest
Mar 15th, 2019
91
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.30 KB | None | 0 0
  1. LIBRARY ieee;
  2. USE ieee.std_logic_1164.all;
  3. USE ieee.numeric_std.all;
  4.  
  5. entity ADDC1 is
  6.     port (A, B, CIN: in std_logic;
  7.     SUM, COUT: out std_logic);
  8. end ADDC1;
  9.  
  10. architecture DESCRIPTION of ADDC1 is
  11. begin
  12.     SUM <= (A xor B xor CIN);
  13.     COUT <= ((A and B) or (B and CIN) or (A and CIN));
  14. end DESCRIPTION;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement