Advertisement
tusaveeiei

EASIEST VERILOG

Nov 18th, 2017
93
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module T_FF(q, t, clk, reset);
  2.     output q;
  3.     input t, clk, reset;
  4.     reg q0,q1;
  5.     assign q = q1;
  6.    
  7.     always @ ( negedge clk or posedge reset) begin
  8.         if(reset)
  9.           q0 <= 1'b0;
  10.         else
  11.             q0 = ~q0;
  12.     end
  13.    
  14.     always @ (clk) begin
  15.         q1 = q0 & clk;
  16.     end
  17. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement