Advertisement
toweber

mult_signed_clk_tb.v

Aug 29th, 2022
1,027
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. `include "mult_signed_clk.v"
  2. `timescale 1us/1us
  3. module mult_tb_signed;
  4.    // on testbenches, inputs are regs
  5.    reg signed [3:0] in1;
  6.    reg signed [3:0] in2;  
  7.    reg clk;
  8.  
  9.    // on testbenches, outputs are wires
  10.    wire signed [4:0] out;
  11.    
  12.    mult_signed MULT1(in1,in2,clk, out);
  13.    initial begin
  14.       clk = 0;     in1 = -8;   in2 = -8;
  15.       #(2+2*2**8) $finish;
  16.            
  17.    end // initial begin
  18.  
  19.    always  #1 clk = ~clk;
  20.    always  #2 in1 = in1+1;
  21.    always  #(2*2**4) in2 = in2+1;
  22.    
  23.    
  24.    initial begin
  25.       $monitor ("%t | in1 = %d | in2 = %d | clk = %d | out = %d", $time, in1, in2, clk, out);
  26.       $dumpfile("dump.vcd");
  27.       $dumpvars();
  28.    end
  29. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement