Advertisement
Guest User

Untitled

a guest
Jun 25th, 2017
55
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. `timescale 1ns/1ps
  2. module counter_test;
  3.  
  4. integer i;
  5. logicclk,ena,rst;
  6. logic [3:0] result;
  7.  
  8. initial begin                                           clk=0;                 
  9.     forever #10 clk = ~clk;
  10. end
  11.  
  12.  
  13. initial begin
  14.     ena=0;                     
  15.     forever @(negedgeclk) ena=~ena;
  16. end    
  17.        
  18. initial
  19. begin
  20.     rst=0;     
  21.     #10 rst=1;     
  22.     while (result<5) #20 $strobe("Counter value: %d", result);
  23.     #100 rst=0;    
  24.     #10 rst=1; 
  25.     #100 $finish;
  26. end
  27. bin_cnttest_dev(clk, ena, rst, result);
  28.  
  29. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement